diff --git a/sample/11028_orig.json b/sample/11028_orig.json new file mode 100644 index 0000000000000000000000000000000000000000..00e74021a11e42858c6123baff7f312897b19a22 --- /dev/null +++ b/sample/11028_orig.json @@ -0,0 +1,449 @@ +{ + "bbox": { + "sample/11028_orig/00001.jpg": [ + 8, + 162, + 61, + 171 + ], + "sample/11028_orig/00002.jpg": [ + 7, + 162, + 61, + 170 + ], + "sample/11028_orig/00003.jpg": [ + 7, + 162, + 60, + 169 + ], + "sample/11028_orig/00004.jpg": [ + 7, + 163, + 60, + 169 + ], + "sample/11028_orig/00005.jpg": [ + 6, + 163, + 60, + 168 + ], + "sample/11028_orig/00006.jpg": [ + 6, + 163, + 60, + 168 + ], + "sample/11028_orig/00007.jpg": [ + 6, + 163, + 60, + 168 + ], + "sample/11028_orig/00008.jpg": [ + 6, + 163, + 60, + 169 + ], + "sample/11028_orig/00009.jpg": [ + 6, + 163, + 61, + 170 + ], + "sample/11028_orig/00010.jpg": [ + 6, + 164, + 60, + 171 + ], + "sample/11028_orig/00011.jpg": [ + 6, + 164, + 60, + 172 + ], + "sample/11028_orig/00012.jpg": [ + 6, + 165, + 59, + 171 + ], + "sample/11028_orig/00013.jpg": [ + 5, + 165, + 57, + 169 + ], + "sample/11028_orig/00014.jpg": [ + 5, + 164, + 54, + 166 + ], + "sample/11028_orig/00015.jpg": [ + 5, + 164, + 51, + 163 + ], + "sample/11028_orig/00016.jpg": [ + 5, + 163, + 48, + 160 + ], + "sample/11028_orig/00017.jpg": [ + 6, + 162, + 47, + 160 + ], + "sample/11028_orig/00018.jpg": [ + 6, + 160, + 49, + 161 + ], + "sample/11028_orig/00019.jpg": [ + 6, + 160, + 50, + 163 + ], + "sample/11028_orig/00020.jpg": [ + 5, + 158, + 52, + 164 + ], + "sample/11028_orig/00021.jpg": [ + 5, + 158, + 54, + 165 + ], + "sample/11028_orig/00022.jpg": [ + 5, + 159, + 55, + 167 + ], + "sample/11028_orig/00023.jpg": [ + 5, + 161, + 55, + 167 + ], + "sample/11028_orig/00024.jpg": [ + 6, + 162, + 57, + 169 + ], + "sample/11028_orig/00025.jpg": [ + 6, + 164, + 59, + 170 + ], + "sample/11028_orig/00026.jpg": [ + 7, + 165, + 61, + 172 + ], + "sample/11028_orig/00027.jpg": [ + 7, + 165, + 63, + 173 + ], + "sample/11028_orig/00028.jpg": [ + 7, + 164, + 64, + 173 + ], + "sample/11028_orig/00029.jpg": [ + 6, + 163, + 64, + 173 + ], + "sample/11028_orig/00030.jpg": [ + 7, + 162, + 65, + 172 + ], + "sample/11028_orig/00031.jpg": [ + 6, + 162, + 65, + 171 + ], + "sample/11028_orig/00032.jpg": [ + 6, + 162, + 64, + 171 + ], + "sample/11028_orig/00033.jpg": [ + 7, + 162, + 64, + 171 + ], + "sample/11028_orig/00034.jpg": [ + 7, + 162, + 64, + 171 + ], + "sample/11028_orig/00035.jpg": [ + 6, + 162, + 63, + 171 + ], + "sample/11028_orig/00036.jpg": [ + 7, + 162, + 62, + 171 + ], + "sample/11028_orig/00037.jpg": [ + 7, + 162, + 61, + 170 + ], + "sample/11028_orig/00038.jpg": [ + 7, + 162, + 60, + 170 + ], + "sample/11028_orig/00039.jpg": [ + 7, + 162, + 59, + 169 + ], + "sample/11028_orig/00040.jpg": [ + 9, + 161, + 59, + 169 + ], + "sample/11028_orig/00041.jpg": [ + 9, + 161, + 58, + 168 + ], + "sample/11028_orig/00042.jpg": [ + 8, + 161, + 57, + 168 + ], + "sample/11028_orig/00043.jpg": [ + 8, + 161, + 56, + 168 + ], + "sample/11028_orig/00044.jpg": [ + 8, + 162, + 56, + 168 + ], + "sample/11028_orig/00045.jpg": [ + 8, + 162, + 55, + 167 + ], + "sample/11028_orig/00046.jpg": [ + 7, + 161, + 54, + 166 + ], + "sample/11028_orig/00047.jpg": [ + 7, + 161, + 54, + 166 + ], + "sample/11028_orig/00048.jpg": [ + 7, + 161, + 54, + 166 + ], + "sample/11028_orig/00049.jpg": [ + 6, + 161, + 54, + 166 + ], + "sample/11028_orig/00050.jpg": [ + 5, + 162, + 55, + 167 + ], + "sample/11028_orig/00051.jpg": [ + 4, + 163, + 56, + 167 + ], + "sample/11028_orig/00052.jpg": [ + 4, + 164, + 56, + 167 + ], + "sample/11028_orig/00053.jpg": [ + 4, + 165, + 56, + 168 + ], + "sample/11028_orig/00054.jpg": [ + 5, + 166, + 55, + 167 + ], + "sample/11028_orig/00055.jpg": [ + 5, + 165, + 54, + 166 + ], + "sample/11028_orig/00056.jpg": [ + 6, + 165, + 53, + 166 + ], + "sample/11028_orig/00057.jpg": [ + 6, + 164, + 52, + 166 + ], + "sample/11028_orig/00058.jpg": [ + 6, + 163, + 52, + 165 + ], + "sample/11028_orig/00059.jpg": [ + 6, + 162, + 52, + 165 + ], + "sample/11028_orig/00060.jpg": [ + 6, + 162, + 53, + 165 + ], + "sample/11028_orig/00061.jpg": [ + 6, + 161, + 56, + 166 + ], + "sample/11028_orig/00062.jpg": [ + 7, + 162, + 57, + 166 + ], + "sample/11028_orig/00063.jpg": [ + 8, + 162, + 60, + 167 + ], + "sample/11028_orig/00064.jpg": [ + 9, + 164, + 61, + 168 + ], + "sample/11028_orig/00065.jpg": [ + 9, + 165, + 62, + 169 + ], + "sample/11028_orig/00066.jpg": [ + 9, + 164, + 62, + 168 + ], + "sample/11028_orig/00067.jpg": [ + 9, + 163, + 62, + 168 + ], + "sample/11028_orig/00068.jpg": [ + 8, + 161, + 62, + 167 + ], + "sample/11028_orig/00069.jpg": [ + 7, + 160, + 62, + 167 + ], + "sample/11028_orig/00070.jpg": [ + 7, + 159, + 62, + 167 + ], + "sample/11028_orig/00071.jpg": [ + 7, + 159, + 62, + 168 + ], + "sample/11028_orig/00072.jpg": [ + 7, + 159, + 62, + 168 + ], + "sample/11028_orig/00073.jpg": [ + 7, + 159, + 63, + 168 + ], + "sample/11028_orig/00074.jpg": [ + 7, + 159, + 62, + 168 + ] + }, + "format": "(y1, y2, x1, x2)" +} \ No newline at end of file diff --git a/sample/11028_orig.mp4 b/sample/11028_orig.mp4 new file mode 100644 index 0000000000000000000000000000000000000000..69492d0c759f66da5f785c32816161052aa5ac01 Binary files /dev/null and b/sample/11028_orig.mp4 differ diff --git a/sample/11028_orig.wav b/sample/11028_orig.wav new file mode 100644 index 0000000000000000000000000000000000000000..aa3ee4f8376c3f7df17242546e8274d0cd15b712 Binary files /dev/null and b/sample/11028_orig.wav differ diff --git a/sample/11028_orig/00001.jpg b/sample/11028_orig/00001.jpg new file mode 100644 index 0000000000000000000000000000000000000000..4c1fd47e76b77590509c26c52ec2d65ad1a5ad04 Binary files /dev/null and b/sample/11028_orig/00001.jpg differ diff --git a/sample/11028_orig/00002.jpg b/sample/11028_orig/00002.jpg new file mode 100644 index 0000000000000000000000000000000000000000..43b11c9c6cb8eb44ad21f951cd86b42fb9b3c1e5 Binary files /dev/null and b/sample/11028_orig/00002.jpg differ diff --git a/sample/11028_orig/00003.jpg b/sample/11028_orig/00003.jpg new file mode 100644 index 0000000000000000000000000000000000000000..13c4a402cc610d43c26ca7730563506cdc142633 Binary files /dev/null and b/sample/11028_orig/00003.jpg differ diff --git a/sample/11028_orig/00004.jpg b/sample/11028_orig/00004.jpg new file mode 100644 index 0000000000000000000000000000000000000000..8c6e0796d3011c634eda3c1cbf4a10e8a8187953 Binary files /dev/null and b/sample/11028_orig/00004.jpg differ diff --git a/sample/11028_orig/00005.jpg b/sample/11028_orig/00005.jpg new file mode 100644 index 0000000000000000000000000000000000000000..de69761a2e9d67ca969910dd4b750fd69a15d6dc Binary files /dev/null and b/sample/11028_orig/00005.jpg differ diff --git a/sample/11028_orig/00006.jpg b/sample/11028_orig/00006.jpg new file mode 100644 index 0000000000000000000000000000000000000000..db7a8ae0cb81fa1d231f3f892e13948881eb5db4 Binary files /dev/null and b/sample/11028_orig/00006.jpg differ diff --git a/sample/11028_orig/00007.jpg b/sample/11028_orig/00007.jpg new file mode 100644 index 0000000000000000000000000000000000000000..ba92ae29afe2352ef822cc8542383e6604207323 Binary files /dev/null and b/sample/11028_orig/00007.jpg differ diff --git a/sample/11028_orig/00008.jpg b/sample/11028_orig/00008.jpg new file mode 100644 index 0000000000000000000000000000000000000000..3d6f8596d08471578964d2803af00f78c7231348 Binary files /dev/null and b/sample/11028_orig/00008.jpg differ diff --git a/sample/11028_orig/00009.jpg b/sample/11028_orig/00009.jpg new file mode 100644 index 0000000000000000000000000000000000000000..d6d678d056ebf0fdc0b6314e99045b5a1a88fcd6 Binary files /dev/null and b/sample/11028_orig/00009.jpg differ diff --git a/sample/11028_orig/00010.jpg b/sample/11028_orig/00010.jpg new file mode 100644 index 0000000000000000000000000000000000000000..c72940630a4604cb679ce1ea33793670dff1d995 Binary files /dev/null and b/sample/11028_orig/00010.jpg differ diff --git a/sample/11028_orig/00011.jpg b/sample/11028_orig/00011.jpg new file mode 100644 index 0000000000000000000000000000000000000000..b5a243ce943a2e24017b322a2dd32ca18bc95166 Binary files /dev/null and b/sample/11028_orig/00011.jpg differ diff --git a/sample/11028_orig/00012.jpg b/sample/11028_orig/00012.jpg new file mode 100644 index 0000000000000000000000000000000000000000..92f0b9cd8a9221a7e9489e82b3d918b2e4589a9b Binary files /dev/null and b/sample/11028_orig/00012.jpg differ diff --git a/sample/11028_orig/00013.jpg b/sample/11028_orig/00013.jpg new file mode 100644 index 0000000000000000000000000000000000000000..eb857c7a138c777c9dfb57327137c3a58968d55f Binary files /dev/null and b/sample/11028_orig/00013.jpg differ diff --git a/sample/11028_orig/00014.jpg b/sample/11028_orig/00014.jpg new file mode 100644 index 0000000000000000000000000000000000000000..ad99c29fc9f68e9729bfe1d3c6b885e0384cd889 Binary files /dev/null and b/sample/11028_orig/00014.jpg differ diff --git a/sample/11028_orig/00015.jpg b/sample/11028_orig/00015.jpg new file mode 100644 index 0000000000000000000000000000000000000000..c53b98e7d4478b49ac9634a11544280ed2ef67c4 Binary files /dev/null and b/sample/11028_orig/00015.jpg differ diff --git a/sample/11028_orig/00016.jpg b/sample/11028_orig/00016.jpg new file mode 100644 index 0000000000000000000000000000000000000000..45e0453612eed968b253caa3be136d40fbe01485 Binary files /dev/null and b/sample/11028_orig/00016.jpg differ diff --git a/sample/11028_orig/00017.jpg b/sample/11028_orig/00017.jpg new file mode 100644 index 0000000000000000000000000000000000000000..0f353ac3c6ff3239f2312ce7af4ab327754e50a5 Binary files /dev/null and b/sample/11028_orig/00017.jpg differ diff --git a/sample/11028_orig/00018.jpg b/sample/11028_orig/00018.jpg new file mode 100644 index 0000000000000000000000000000000000000000..1fbdd67064a592acfb1cf448a1dae2ae034b2ad0 Binary files /dev/null and b/sample/11028_orig/00018.jpg differ diff --git a/sample/11028_orig/00019.jpg b/sample/11028_orig/00019.jpg new file mode 100644 index 0000000000000000000000000000000000000000..41123446bdbf39b246d3484fbf8e267caf213ad0 Binary files /dev/null and b/sample/11028_orig/00019.jpg differ diff --git a/sample/11028_orig/00020.jpg b/sample/11028_orig/00020.jpg new file mode 100644 index 0000000000000000000000000000000000000000..f539c33a1d9872a857bd8701e3f77c9b7fd4ce2a Binary files /dev/null and b/sample/11028_orig/00020.jpg differ diff --git a/sample/11028_orig/00021.jpg b/sample/11028_orig/00021.jpg new file mode 100644 index 0000000000000000000000000000000000000000..ea036d3414279f12db9cbfacbbe01bc6f056c8e6 Binary files /dev/null and b/sample/11028_orig/00021.jpg differ diff --git a/sample/11028_orig/00022.jpg b/sample/11028_orig/00022.jpg new file mode 100644 index 0000000000000000000000000000000000000000..82d8ec177346feb378c860f92c5ca957fa7f3509 Binary files /dev/null and b/sample/11028_orig/00022.jpg differ diff --git a/sample/11028_orig/00023.jpg b/sample/11028_orig/00023.jpg new file mode 100644 index 0000000000000000000000000000000000000000..6eae5aedc4261345ef736eb7773622c1a10b4619 Binary files /dev/null and b/sample/11028_orig/00023.jpg differ diff --git a/sample/11028_orig/00024.jpg b/sample/11028_orig/00024.jpg new file mode 100644 index 0000000000000000000000000000000000000000..b0f74eaca405e5441fcc033f911947f87ea13487 Binary files /dev/null and b/sample/11028_orig/00024.jpg differ diff --git a/sample/11028_orig/00025.jpg b/sample/11028_orig/00025.jpg new file mode 100644 index 0000000000000000000000000000000000000000..b3fea550a37c1e3f9b2c68a642d77e07788c0bd7 Binary files /dev/null and b/sample/11028_orig/00025.jpg differ diff --git a/sample/11028_orig/00026.jpg b/sample/11028_orig/00026.jpg new file mode 100644 index 0000000000000000000000000000000000000000..e1ecb49ab0214b7146ca95ca3a643399afce8a14 Binary files /dev/null and b/sample/11028_orig/00026.jpg differ diff --git a/sample/11028_orig/00027.jpg b/sample/11028_orig/00027.jpg new file mode 100644 index 0000000000000000000000000000000000000000..87a42cde0675dee6ac4e203cfbd45ac96389bf53 Binary files /dev/null and b/sample/11028_orig/00027.jpg differ diff --git a/sample/11028_orig/00028.jpg b/sample/11028_orig/00028.jpg new file mode 100644 index 0000000000000000000000000000000000000000..0d0afaafdc029b04e7669d0150c40f0502618c5a Binary files /dev/null and b/sample/11028_orig/00028.jpg differ diff --git a/sample/11028_orig/00029.jpg b/sample/11028_orig/00029.jpg new file mode 100644 index 0000000000000000000000000000000000000000..60434eb414b0105ffd07a1fea6c814e6d9524641 Binary files /dev/null and b/sample/11028_orig/00029.jpg differ diff --git a/sample/11028_orig/00030.jpg b/sample/11028_orig/00030.jpg new file mode 100644 index 0000000000000000000000000000000000000000..7e9832cacb6341c54b624573c5c7c13fd115c449 Binary files /dev/null and b/sample/11028_orig/00030.jpg differ diff --git a/sample/11028_orig/00031.jpg b/sample/11028_orig/00031.jpg new file mode 100644 index 0000000000000000000000000000000000000000..dfae29578afae30219c4a52c76d2ba6b2faba3de Binary files /dev/null and b/sample/11028_orig/00031.jpg differ diff --git a/sample/11028_orig/00032.jpg b/sample/11028_orig/00032.jpg new file mode 100644 index 0000000000000000000000000000000000000000..d4380668746ee6b56e64fc467e16f953cec4fbd0 Binary files /dev/null and b/sample/11028_orig/00032.jpg differ diff --git a/sample/11028_orig/00033.jpg b/sample/11028_orig/00033.jpg new file mode 100644 index 0000000000000000000000000000000000000000..c762f681cc43e8f2b2961b81ec5ac4160c52a434 Binary files /dev/null and b/sample/11028_orig/00033.jpg differ diff --git a/sample/11028_orig/00034.jpg b/sample/11028_orig/00034.jpg new file mode 100644 index 0000000000000000000000000000000000000000..a6b6f46310e3a2cc69bee3b0f47e44354ba8fa33 Binary files /dev/null and b/sample/11028_orig/00034.jpg differ diff --git a/sample/11028_orig/00035.jpg b/sample/11028_orig/00035.jpg new file mode 100644 index 0000000000000000000000000000000000000000..9d95cc20062a7ec6b724616421a62e5600292565 Binary files /dev/null and b/sample/11028_orig/00035.jpg differ diff --git a/sample/11028_orig/00036.jpg b/sample/11028_orig/00036.jpg new file mode 100644 index 0000000000000000000000000000000000000000..529f02860a44a9f6adb73536cfbb195fbb08a70c Binary files /dev/null and b/sample/11028_orig/00036.jpg differ diff --git a/sample/11028_orig/00037.jpg b/sample/11028_orig/00037.jpg new file mode 100644 index 0000000000000000000000000000000000000000..3fdc253224bfbfc60f121cb7e73c210a1c4d264c Binary files /dev/null and b/sample/11028_orig/00037.jpg differ diff --git a/sample/11028_orig/00038.jpg b/sample/11028_orig/00038.jpg new file mode 100644 index 0000000000000000000000000000000000000000..7a707d4c68af89d1a1b1f7c626653ab7c15c5c79 Binary files /dev/null and b/sample/11028_orig/00038.jpg differ diff --git a/sample/11028_orig/00039.jpg b/sample/11028_orig/00039.jpg new file mode 100644 index 0000000000000000000000000000000000000000..59d06358ddff8311263e280cd6fa92a5e80bc949 Binary files /dev/null and b/sample/11028_orig/00039.jpg differ diff --git a/sample/11028_orig/00040.jpg b/sample/11028_orig/00040.jpg new file mode 100644 index 0000000000000000000000000000000000000000..6b0b479b24dbf551a87914f45b657b9dc36c2c2f Binary files /dev/null and b/sample/11028_orig/00040.jpg differ diff --git a/sample/11028_orig/00041.jpg b/sample/11028_orig/00041.jpg new file mode 100644 index 0000000000000000000000000000000000000000..2a386933e6e6387da40c5fe6a8a2bc50aa40faf8 Binary files /dev/null and b/sample/11028_orig/00041.jpg differ diff --git a/sample/11028_orig/00042.jpg b/sample/11028_orig/00042.jpg new file mode 100644 index 0000000000000000000000000000000000000000..44640110a327c400138ed9d6b8e882a3460a2c7f Binary files /dev/null and b/sample/11028_orig/00042.jpg differ diff --git a/sample/11028_orig/00043.jpg b/sample/11028_orig/00043.jpg new file mode 100644 index 0000000000000000000000000000000000000000..e14b630aa861cba192b70ef1a72e5dfb56d63fae Binary files /dev/null and b/sample/11028_orig/00043.jpg differ diff --git a/sample/11028_orig/00044.jpg b/sample/11028_orig/00044.jpg new file mode 100644 index 0000000000000000000000000000000000000000..e9b932cb0d98ac177600b7e2a5ce7cb8188b3aea Binary files /dev/null and b/sample/11028_orig/00044.jpg differ diff --git a/sample/11028_orig/00045.jpg b/sample/11028_orig/00045.jpg new file mode 100644 index 0000000000000000000000000000000000000000..bce3ceee3a1d249151590cf6fd88442efeb672bb Binary files /dev/null and b/sample/11028_orig/00045.jpg differ diff --git a/sample/11028_orig/00046.jpg b/sample/11028_orig/00046.jpg new file mode 100644 index 0000000000000000000000000000000000000000..19dc3cabd78b1d19fae489e8647697c2bb475125 Binary files /dev/null and b/sample/11028_orig/00046.jpg differ diff --git a/sample/11028_orig/00047.jpg b/sample/11028_orig/00047.jpg new file mode 100644 index 0000000000000000000000000000000000000000..e5a4a7832b65bbae1d65a38e5bde1cf4981bffa7 Binary files /dev/null and b/sample/11028_orig/00047.jpg differ diff --git a/sample/11028_orig/00048.jpg b/sample/11028_orig/00048.jpg new file mode 100644 index 0000000000000000000000000000000000000000..d4cb17d9834031acb7b07207f8d2a261486806d6 Binary files /dev/null and b/sample/11028_orig/00048.jpg differ diff --git a/sample/11028_orig/00049.jpg b/sample/11028_orig/00049.jpg new file mode 100644 index 0000000000000000000000000000000000000000..3582a60084b1a3e46b0644d318f6040dcd4a19bb Binary files /dev/null and b/sample/11028_orig/00049.jpg differ diff --git a/sample/11028_orig/00050.jpg b/sample/11028_orig/00050.jpg new file mode 100644 index 0000000000000000000000000000000000000000..8cb2586a255153ac72998d0ebbd8e9874a1a0a81 Binary files /dev/null and b/sample/11028_orig/00050.jpg differ diff --git a/sample/11028_orig/00051.jpg b/sample/11028_orig/00051.jpg new file mode 100644 index 0000000000000000000000000000000000000000..cf68c791f1df17767822be4b06014934767442b7 Binary files /dev/null and b/sample/11028_orig/00051.jpg differ diff --git a/sample/11028_orig/00052.jpg b/sample/11028_orig/00052.jpg new file mode 100644 index 0000000000000000000000000000000000000000..8d7a81629fed4d0fd7512d7bd8d8fd01a7f1183a Binary files /dev/null and b/sample/11028_orig/00052.jpg differ diff --git a/sample/11028_orig/00053.jpg b/sample/11028_orig/00053.jpg new file mode 100644 index 0000000000000000000000000000000000000000..43b16f7acc1a4dc8fe596edd830f4c77c60d2139 Binary files /dev/null and b/sample/11028_orig/00053.jpg differ diff --git a/sample/11028_orig/00054.jpg b/sample/11028_orig/00054.jpg new file mode 100644 index 0000000000000000000000000000000000000000..7b9f595d45d7eee50d87e70a703b97228a5c47bc Binary files /dev/null and b/sample/11028_orig/00054.jpg differ diff --git a/sample/11028_orig/00055.jpg b/sample/11028_orig/00055.jpg new file mode 100644 index 0000000000000000000000000000000000000000..99186a18a39d8dde1838964a83608f457c473b79 Binary files /dev/null and b/sample/11028_orig/00055.jpg differ diff --git a/sample/11028_orig/00056.jpg b/sample/11028_orig/00056.jpg new file mode 100644 index 0000000000000000000000000000000000000000..a2a5438b8096859be57dd8765e58c94cecde8502 Binary files /dev/null and b/sample/11028_orig/00056.jpg differ diff --git a/sample/11028_orig/00057.jpg b/sample/11028_orig/00057.jpg new file mode 100644 index 0000000000000000000000000000000000000000..c6f7be113acc0aaacef6acb760088546be368611 Binary files /dev/null and b/sample/11028_orig/00057.jpg differ diff --git a/sample/11028_orig/00058.jpg b/sample/11028_orig/00058.jpg new file mode 100644 index 0000000000000000000000000000000000000000..1160cafbd95619718c1d004e9b1394aeb2953461 Binary files /dev/null and b/sample/11028_orig/00058.jpg differ diff --git a/sample/11028_orig/00059.jpg b/sample/11028_orig/00059.jpg new file mode 100644 index 0000000000000000000000000000000000000000..74a81ff9d747eed94bfcaebc64ba4b872c5443fb Binary files /dev/null and b/sample/11028_orig/00059.jpg differ diff --git a/sample/11028_orig/00060.jpg b/sample/11028_orig/00060.jpg new file mode 100644 index 0000000000000000000000000000000000000000..47173a4b66e0c66e9bde298e1c9169524fe4cf04 Binary files /dev/null and b/sample/11028_orig/00060.jpg differ diff --git a/sample/11028_orig/00061.jpg b/sample/11028_orig/00061.jpg new file mode 100644 index 0000000000000000000000000000000000000000..8c0b7352700a7f62ac66dd1cb1abe9ab006a1aa3 Binary files /dev/null and b/sample/11028_orig/00061.jpg differ diff --git a/sample/11028_orig/00062.jpg b/sample/11028_orig/00062.jpg new file mode 100644 index 0000000000000000000000000000000000000000..7fc43b08a06a7937f0a62f2582c90db29dd7c03f Binary files /dev/null and b/sample/11028_orig/00062.jpg differ diff --git a/sample/11028_orig/00063.jpg b/sample/11028_orig/00063.jpg new file mode 100644 index 0000000000000000000000000000000000000000..56ebbf06670d071ceb5d0eee29e83c24b675c1b5 Binary files /dev/null and b/sample/11028_orig/00063.jpg differ diff --git a/sample/11028_orig/00064.jpg b/sample/11028_orig/00064.jpg new file mode 100644 index 0000000000000000000000000000000000000000..625d20b8a7a410b8de056fa6a487b20c42478cd6 Binary files /dev/null and b/sample/11028_orig/00064.jpg differ diff --git a/sample/11028_orig/00065.jpg b/sample/11028_orig/00065.jpg new file mode 100644 index 0000000000000000000000000000000000000000..978171bb8bd375d67c2c8fa7535c98c81e7f13a5 Binary files /dev/null and b/sample/11028_orig/00065.jpg differ diff --git a/sample/11028_orig/00066.jpg b/sample/11028_orig/00066.jpg new file mode 100644 index 0000000000000000000000000000000000000000..1f5edfa14a8561cf5b8675308fce2afc0dfb4979 Binary files /dev/null and b/sample/11028_orig/00066.jpg differ diff --git a/sample/11028_orig/00067.jpg b/sample/11028_orig/00067.jpg new file mode 100644 index 0000000000000000000000000000000000000000..081d3625851aa1facefa991ba3c1c1553e46df8f Binary files /dev/null and b/sample/11028_orig/00067.jpg differ diff --git a/sample/11028_orig/00068.jpg b/sample/11028_orig/00068.jpg new file mode 100644 index 0000000000000000000000000000000000000000..397c854972cd920145c4923e1e85015c885713f4 Binary files /dev/null and b/sample/11028_orig/00068.jpg differ diff --git a/sample/11028_orig/00069.jpg b/sample/11028_orig/00069.jpg new file mode 100644 index 0000000000000000000000000000000000000000..844080178f6bf24273f6ebe8640a8de80d30098a Binary files /dev/null and b/sample/11028_orig/00069.jpg differ diff --git a/sample/11028_orig/00070.jpg b/sample/11028_orig/00070.jpg new file mode 100644 index 0000000000000000000000000000000000000000..77ce4066adc171d3857aa5fec4a3f14e641b7d6c Binary files /dev/null and b/sample/11028_orig/00070.jpg differ diff --git a/sample/11028_orig/00071.jpg b/sample/11028_orig/00071.jpg new file mode 100644 index 0000000000000000000000000000000000000000..c0344dd314f2eba434d061e54a121ffd10c74957 Binary files /dev/null and b/sample/11028_orig/00071.jpg differ diff --git a/sample/11028_orig/00072.jpg b/sample/11028_orig/00072.jpg new file mode 100644 index 0000000000000000000000000000000000000000..98b45288290d3f11f0013b6618b1876aad24867a Binary files /dev/null and b/sample/11028_orig/00072.jpg differ diff --git a/sample/11028_orig/00073.jpg b/sample/11028_orig/00073.jpg new file mode 100644 index 0000000000000000000000000000000000000000..9e23675a12c012551ec8e43d33b78e1ac4f0b47a Binary files /dev/null and b/sample/11028_orig/00073.jpg differ diff --git a/sample/11028_orig/00074.jpg b/sample/11028_orig/00074.jpg new file mode 100644 index 0000000000000000000000000000000000000000..8401f6509730dbc015d7c4556470e4114107c0e3 Binary files /dev/null and b/sample/11028_orig/00074.jpg differ diff --git a/sample/12640_orig.json b/sample/12640_orig.json new file mode 100644 index 0000000000000000000000000000000000000000..54559aec8f65fc2d1325e0b6f0740a3e35b605fe --- /dev/null +++ b/sample/12640_orig.json @@ -0,0 +1,785 @@ +{ + "bbox": { + "sample/12640_orig/00001.jpg": [ + 5, + 164, + 50, + 163 + ], + "sample/12640_orig/00002.jpg": [ + 5, + 164, + 50, + 164 + ], + "sample/12640_orig/00003.jpg": [ + 5, + 163, + 50, + 164 + ], + "sample/12640_orig/00004.jpg": [ + 5, + 162, + 51, + 164 + ], + "sample/12640_orig/00005.jpg": [ + 4, + 161, + 50, + 164 + ], + "sample/12640_orig/00006.jpg": [ + 4, + 160, + 50, + 165 + ], + "sample/12640_orig/00007.jpg": [ + 4, + 158, + 50, + 165 + ], + "sample/12640_orig/00008.jpg": [ + 3, + 157, + 49, + 166 + ], + "sample/12640_orig/00009.jpg": [ + 3, + 156, + 49, + 165 + ], + "sample/12640_orig/00010.jpg": [ + 3, + 158, + 48, + 164 + ], + "sample/12640_orig/00011.jpg": [ + 3, + 159, + 48, + 163 + ], + "sample/12640_orig/00012.jpg": [ + 3, + 161, + 49, + 162 + ], + "sample/12640_orig/00013.jpg": [ + 3, + 163, + 49, + 160 + ], + "sample/12640_orig/00014.jpg": [ + 3, + 164, + 48, + 160 + ], + "sample/12640_orig/00015.jpg": [ + 4, + 164, + 48, + 160 + ], + "sample/12640_orig/00016.jpg": [ + 3, + 163, + 48, + 160 + ], + "sample/12640_orig/00017.jpg": [ + 3, + 162, + 47, + 161 + ], + "sample/12640_orig/00018.jpg": [ + 2, + 161, + 47, + 161 + ], + "sample/12640_orig/00019.jpg": [ + 1, + 161, + 45, + 163 + ], + "sample/12640_orig/00020.jpg": [ + 0, + 161, + 45, + 164 + ], + "sample/12640_orig/00021.jpg": [ + 0, + 161, + 44, + 164 + ], + "sample/12640_orig/00022.jpg": [ + 0, + 163, + 43, + 166 + ], + "sample/12640_orig/00023.jpg": [ + 0, + 165, + 43, + 167 + ], + "sample/12640_orig/00024.jpg": [ + 0, + 164, + 45, + 166 + ], + "sample/12640_orig/00025.jpg": [ + 0, + 164, + 46, + 167 + ], + "sample/12640_orig/00026.jpg": [ + 0, + 165, + 48, + 168 + ], + "sample/12640_orig/00027.jpg": [ + 0, + 166, + 49, + 168 + ], + "sample/12640_orig/00028.jpg": [ + 0, + 166, + 49, + 169 + ], + "sample/12640_orig/00029.jpg": [ + 0, + 167, + 49, + 169 + ], + "sample/12640_orig/00030.jpg": [ + 0, + 168, + 48, + 169 + ], + "sample/12640_orig/00031.jpg": [ + 0, + 167, + 47, + 167 + ], + "sample/12640_orig/00032.jpg": [ + 0, + 167, + 46, + 167 + ], + "sample/12640_orig/00033.jpg": [ + 0, + 166, + 45, + 166 + ], + "sample/12640_orig/00034.jpg": [ + 0, + 165, + 45, + 165 + ], + "sample/12640_orig/00035.jpg": [ + 0, + 165, + 45, + 165 + ], + "sample/12640_orig/00036.jpg": [ + 0, + 166, + 45, + 166 + ], + "sample/12640_orig/00037.jpg": [ + 0, + 166, + 45, + 167 + ], + "sample/12640_orig/00038.jpg": [ + 0, + 165, + 46, + 166 + ], + "sample/12640_orig/00039.jpg": [ + 0, + 165, + 47, + 164 + ], + "sample/12640_orig/00040.jpg": [ + 1, + 164, + 48, + 162 + ], + "sample/12640_orig/00041.jpg": [ + 1, + 162, + 48, + 161 + ], + "sample/12640_orig/00042.jpg": [ + 2, + 161, + 48, + 159 + ], + "sample/12640_orig/00043.jpg": [ + 2, + 161, + 48, + 160 + ], + "sample/12640_orig/00044.jpg": [ + 2, + 161, + 47, + 160 + ], + "sample/12640_orig/00045.jpg": [ + 1, + 162, + 47, + 162 + ], + "sample/12640_orig/00046.jpg": [ + 1, + 162, + 48, + 162 + ], + "sample/12640_orig/00047.jpg": [ + 2, + 163, + 48, + 163 + ], + "sample/12640_orig/00048.jpg": [ + 2, + 164, + 48, + 164 + ], + "sample/12640_orig/00049.jpg": [ + 2, + 163, + 48, + 164 + ], + "sample/12640_orig/00050.jpg": [ + 3, + 164, + 48, + 165 + ], + "sample/12640_orig/00051.jpg": [ + 3, + 164, + 48, + 166 + ], + "sample/12640_orig/00052.jpg": [ + 3, + 163, + 48, + 167 + ], + "sample/12640_orig/00053.jpg": [ + 3, + 163, + 48, + 167 + ], + "sample/12640_orig/00054.jpg": [ + 3, + 163, + 49, + 167 + ], + "sample/12640_orig/00055.jpg": [ + 4, + 163, + 50, + 167 + ], + "sample/12640_orig/00056.jpg": [ + 5, + 164, + 51, + 165 + ], + "sample/12640_orig/00057.jpg": [ + 5, + 165, + 52, + 165 + ], + "sample/12640_orig/00058.jpg": [ + 5, + 165, + 52, + 165 + ], + "sample/12640_orig/00059.jpg": [ + 4, + 165, + 52, + 166 + ], + "sample/12640_orig/00060.jpg": [ + 4, + 165, + 52, + 167 + ], + "sample/12640_orig/00061.jpg": [ + 4, + 166, + 52, + 168 + ], + "sample/12640_orig/00062.jpg": [ + 4, + 166, + 52, + 168 + ], + "sample/12640_orig/00063.jpg": [ + 4, + 166, + 51, + 168 + ], + "sample/12640_orig/00064.jpg": [ + 4, + 165, + 51, + 167 + ], + "sample/12640_orig/00065.jpg": [ + 4, + 164, + 50, + 167 + ], + "sample/12640_orig/00066.jpg": [ + 4, + 163, + 49, + 167 + ], + "sample/12640_orig/00067.jpg": [ + 4, + 164, + 49, + 168 + ], + "sample/12640_orig/00068.jpg": [ + 4, + 163, + 48, + 168 + ], + "sample/12640_orig/00069.jpg": [ + 5, + 164, + 48, + 168 + ], + "sample/12640_orig/00070.jpg": [ + 5, + 165, + 48, + 168 + ], + "sample/12640_orig/00071.jpg": [ + 6, + 165, + 48, + 167 + ], + "sample/12640_orig/00072.jpg": [ + 6, + 165, + 49, + 167 + ], + "sample/12640_orig/00073.jpg": [ + 6, + 166, + 50, + 167 + ], + "sample/12640_orig/00074.jpg": [ + 5, + 166, + 51, + 168 + ], + "sample/12640_orig/00075.jpg": [ + 4, + 167, + 51, + 169 + ], + "sample/12640_orig/00076.jpg": [ + 3, + 168, + 50, + 169 + ], + "sample/12640_orig/00077.jpg": [ + 2, + 169, + 49, + 169 + ], + "sample/12640_orig/00078.jpg": [ + 1, + 169, + 48, + 169 + ], + "sample/12640_orig/00079.jpg": [ + 0, + 170, + 47, + 169 + ], + "sample/12640_orig/00080.jpg": [ + 0, + 169, + 46, + 168 + ], + "sample/12640_orig/00081.jpg": [ + 0, + 170, + 45, + 168 + ], + "sample/12640_orig/00082.jpg": [ + 0, + 170, + 44, + 168 + ], + "sample/12640_orig/00083.jpg": [ + 0, + 170, + 44, + 169 + ], + "sample/12640_orig/00084.jpg": [ + 0, + 170, + 44, + 170 + ], + "sample/12640_orig/00085.jpg": [ + 0, + 171, + 45, + 171 + ], + "sample/12640_orig/00086.jpg": [ + 0, + 170, + 46, + 172 + ], + "sample/12640_orig/00087.jpg": [ + 0, + 171, + 46, + 172 + ], + "sample/12640_orig/00088.jpg": [ + 1, + 171, + 46, + 172 + ], + "sample/12640_orig/00089.jpg": [ + 2, + 170, + 47, + 171 + ], + "sample/12640_orig/00090.jpg": [ + 3, + 170, + 48, + 171 + ], + "sample/12640_orig/00091.jpg": [ + 4, + 170, + 49, + 169 + ], + "sample/12640_orig/00092.jpg": [ + 5, + 169, + 49, + 169 + ], + "sample/12640_orig/00093.jpg": [ + 5, + 167, + 50, + 169 + ], + "sample/12640_orig/00094.jpg": [ + 5, + 167, + 50, + 170 + ], + "sample/12640_orig/00095.jpg": [ + 6, + 165, + 50, + 169 + ], + "sample/12640_orig/00096.jpg": [ + 6, + 164, + 51, + 170 + ], + "sample/12640_orig/00097.jpg": [ + 6, + 164, + 51, + 170 + ], + "sample/12640_orig/00098.jpg": [ + 6, + 164, + 50, + 170 + ], + "sample/12640_orig/00099.jpg": [ + 6, + 164, + 50, + 171 + ], + "sample/12640_orig/00100.jpg": [ + 6, + 164, + 50, + 171 + ], + "sample/12640_orig/00101.jpg": [ + 6, + 164, + 50, + 172 + ], + "sample/12640_orig/00102.jpg": [ + 5, + 165, + 48, + 172 + ], + "sample/12640_orig/00103.jpg": [ + 4, + 167, + 47, + 173 + ], + "sample/12640_orig/00104.jpg": [ + 3, + 168, + 47, + 174 + ], + "sample/12640_orig/00105.jpg": [ + 2, + 169, + 46, + 174 + ], + "sample/12640_orig/00106.jpg": [ + 1, + 170, + 45, + 175 + ], + "sample/12640_orig/00107.jpg": [ + 1, + 170, + 45, + 175 + ], + "sample/12640_orig/00108.jpg": [ + 1, + 169, + 45, + 175 + ], + "sample/12640_orig/00109.jpg": [ + 1, + 169, + 45, + 174 + ], + "sample/12640_orig/00110.jpg": [ + 1, + 170, + 45, + 174 + ], + "sample/12640_orig/00111.jpg": [ + 1, + 171, + 45, + 174 + ], + "sample/12640_orig/00112.jpg": [ + 0, + 171, + 46, + 175 + ], + "sample/12640_orig/00113.jpg": [ + 0, + 171, + 46, + 175 + ], + "sample/12640_orig/00114.jpg": [ + 0, + 170, + 46, + 175 + ], + "sample/12640_orig/00115.jpg": [ + 0, + 168, + 46, + 174 + ], + "sample/12640_orig/00116.jpg": [ + 0, + 167, + 46, + 172 + ], + "sample/12640_orig/00117.jpg": [ + 0, + 166, + 46, + 170 + ], + "sample/12640_orig/00118.jpg": [ + 0, + 165, + 46, + 168 + ], + "sample/12640_orig/00119.jpg": [ + 0, + 164, + 46, + 167 + ], + "sample/12640_orig/00120.jpg": [ + 0, + 164, + 46, + 167 + ], + "sample/12640_orig/00121.jpg": [ + 0, + 164, + 46, + 166 + ], + "sample/12640_orig/00122.jpg": [ + 0, + 163, + 47, + 167 + ], + "sample/12640_orig/00123.jpg": [ + 0, + 163, + 47, + 167 + ], + "sample/12640_orig/00124.jpg": [ + 0, + 163, + 48, + 166 + ], + "sample/12640_orig/00125.jpg": [ + 0, + 164, + 48, + 166 + ], + "sample/12640_orig/00126.jpg": [ + 0, + 164, + 49, + 166 + ], + "sample/12640_orig/00127.jpg": [ + 0, + 165, + 49, + 165 + ], + "sample/12640_orig/00128.jpg": [ + 0, + 165, + 49, + 165 + ], + "sample/12640_orig/00129.jpg": [ + 0, + 165, + 49, + 165 + ], + "sample/12640_orig/00130.jpg": [ + 0, + 164, + 49, + 165 + ] + }, + "format": "(y1, y2, x1, x2)" +} \ No newline at end of file diff --git a/sample/12640_orig.mp4 b/sample/12640_orig.mp4 new file mode 100644 index 0000000000000000000000000000000000000000..d321ef46d34d842962d689e59f9a17baa701ef33 Binary files /dev/null and b/sample/12640_orig.mp4 differ diff --git a/sample/12640_orig.wav b/sample/12640_orig.wav new file mode 100644 index 0000000000000000000000000000000000000000..a26f063f111dd3a2cb0856137432519cfae448f1 Binary files /dev/null and b/sample/12640_orig.wav differ diff --git a/sample/12640_orig/00001.jpg b/sample/12640_orig/00001.jpg new file mode 100644 index 0000000000000000000000000000000000000000..ded4672d72fac6837f1d44d41544599d42d634b4 Binary files /dev/null and b/sample/12640_orig/00001.jpg differ diff --git a/sample/12640_orig/00002.jpg b/sample/12640_orig/00002.jpg new file mode 100644 index 0000000000000000000000000000000000000000..3d1265c35ffa1cb19cdf389d34dcaa04dce6c367 Binary files /dev/null and b/sample/12640_orig/00002.jpg differ diff --git a/sample/12640_orig/00003.jpg b/sample/12640_orig/00003.jpg new file mode 100644 index 0000000000000000000000000000000000000000..8d0dc2941dde624e1753390a997897afe531e072 Binary files /dev/null and b/sample/12640_orig/00003.jpg differ diff --git a/sample/12640_orig/00004.jpg b/sample/12640_orig/00004.jpg new file mode 100644 index 0000000000000000000000000000000000000000..2e37d38f6745d37f9fa90dbc553d0748605a81cd Binary files /dev/null and b/sample/12640_orig/00004.jpg differ diff --git a/sample/12640_orig/00005.jpg b/sample/12640_orig/00005.jpg new file mode 100644 index 0000000000000000000000000000000000000000..d40f7aa7e2bd0bc9b0a521f8d8290b69e52ebbd6 Binary files /dev/null and b/sample/12640_orig/00005.jpg differ diff --git a/sample/12640_orig/00006.jpg b/sample/12640_orig/00006.jpg new file mode 100644 index 0000000000000000000000000000000000000000..99b0934b36c043d7db6d40255eda8f4ff2d05a9e Binary files /dev/null and b/sample/12640_orig/00006.jpg differ diff --git a/sample/12640_orig/00007.jpg b/sample/12640_orig/00007.jpg new file mode 100644 index 0000000000000000000000000000000000000000..d21b414cabd06265e16efbc0e6e29762bc9898d2 Binary files /dev/null and b/sample/12640_orig/00007.jpg differ diff --git a/sample/12640_orig/00008.jpg b/sample/12640_orig/00008.jpg new file mode 100644 index 0000000000000000000000000000000000000000..e77ea46f5cdc9736e5ea289057d3253517f8c654 Binary files /dev/null and b/sample/12640_orig/00008.jpg differ diff --git a/sample/12640_orig/00009.jpg b/sample/12640_orig/00009.jpg new file mode 100644 index 0000000000000000000000000000000000000000..46840c8933a0a1386485f44298f07afbc24ae4cd Binary files /dev/null and b/sample/12640_orig/00009.jpg differ diff --git a/sample/12640_orig/00010.jpg b/sample/12640_orig/00010.jpg new file mode 100644 index 0000000000000000000000000000000000000000..a6186df13a29dd327731549651e10c6f6f2e2b0a Binary files /dev/null and b/sample/12640_orig/00010.jpg differ diff --git a/sample/12640_orig/00011.jpg b/sample/12640_orig/00011.jpg new file mode 100644 index 0000000000000000000000000000000000000000..12973f682dcb5641289ef26c5a71df059acbf3e3 Binary files /dev/null and b/sample/12640_orig/00011.jpg differ diff --git a/sample/12640_orig/00012.jpg b/sample/12640_orig/00012.jpg new file mode 100644 index 0000000000000000000000000000000000000000..c98aca7b8223bafd4f7ae70d08a2413ef3df5087 Binary files /dev/null and b/sample/12640_orig/00012.jpg differ diff --git a/sample/12640_orig/00013.jpg b/sample/12640_orig/00013.jpg new file mode 100644 index 0000000000000000000000000000000000000000..ab3b6ab13e842314d1e344d92d2caecc28285fd2 Binary files /dev/null and b/sample/12640_orig/00013.jpg differ diff --git a/sample/12640_orig/00014.jpg b/sample/12640_orig/00014.jpg new file mode 100644 index 0000000000000000000000000000000000000000..8b99cf67251d3f4ea9fa3eee6fbd058a2445d915 Binary files /dev/null and b/sample/12640_orig/00014.jpg differ diff --git a/sample/12640_orig/00015.jpg b/sample/12640_orig/00015.jpg new file mode 100644 index 0000000000000000000000000000000000000000..a1a4b50729d7d37a60de85c2fb3d5b07a207751a Binary files /dev/null and b/sample/12640_orig/00015.jpg differ diff --git a/sample/12640_orig/00016.jpg b/sample/12640_orig/00016.jpg new file mode 100644 index 0000000000000000000000000000000000000000..afbc7e86742acd8a56d8535b74715d13cd0a51b7 Binary files /dev/null and b/sample/12640_orig/00016.jpg differ diff --git a/sample/12640_orig/00017.jpg b/sample/12640_orig/00017.jpg new file mode 100644 index 0000000000000000000000000000000000000000..45ecd7892f83a7394f89b209635481aa9640fd85 Binary files /dev/null and b/sample/12640_orig/00017.jpg differ diff --git a/sample/12640_orig/00018.jpg b/sample/12640_orig/00018.jpg new file mode 100644 index 0000000000000000000000000000000000000000..bcd46a867eff2eb13d10b178b5093e37f0d51cc0 Binary files /dev/null and b/sample/12640_orig/00018.jpg differ diff --git a/sample/12640_orig/00019.jpg b/sample/12640_orig/00019.jpg new file mode 100644 index 0000000000000000000000000000000000000000..3945826645e9ac96f5ee35fb26440f270d9ef50d Binary files /dev/null and b/sample/12640_orig/00019.jpg differ diff --git a/sample/12640_orig/00020.jpg b/sample/12640_orig/00020.jpg new file mode 100644 index 0000000000000000000000000000000000000000..2dcb45989131aea85d96f3581e8f099201203720 Binary files /dev/null and b/sample/12640_orig/00020.jpg differ diff --git a/sample/12640_orig/00021.jpg b/sample/12640_orig/00021.jpg new file mode 100644 index 0000000000000000000000000000000000000000..6e157a24db340951907eae8a2b5341a43a223b7c Binary files /dev/null and b/sample/12640_orig/00021.jpg differ diff --git a/sample/12640_orig/00022.jpg b/sample/12640_orig/00022.jpg new file mode 100644 index 0000000000000000000000000000000000000000..ec294305cda2b26ae783f75ec45c5552ec9c3538 Binary files /dev/null and b/sample/12640_orig/00022.jpg differ diff --git a/sample/12640_orig/00023.jpg b/sample/12640_orig/00023.jpg new file mode 100644 index 0000000000000000000000000000000000000000..8c735f61c2e97f95827c22bc26415820c58a44da Binary files /dev/null and b/sample/12640_orig/00023.jpg differ diff --git a/sample/12640_orig/00024.jpg b/sample/12640_orig/00024.jpg new file mode 100644 index 0000000000000000000000000000000000000000..d4e813251dd0144afe2d4846c279ee143a57444b Binary files /dev/null and b/sample/12640_orig/00024.jpg differ diff --git a/sample/12640_orig/00025.jpg b/sample/12640_orig/00025.jpg new file mode 100644 index 0000000000000000000000000000000000000000..ac3c8b6b5a3b733959080f12fcd7dd8c411e4d52 Binary files /dev/null and b/sample/12640_orig/00025.jpg differ diff --git a/sample/12640_orig/00026.jpg b/sample/12640_orig/00026.jpg new file mode 100644 index 0000000000000000000000000000000000000000..fa2eac62ad6204c4837575acc14479be920d16df Binary files /dev/null and b/sample/12640_orig/00026.jpg differ diff --git a/sample/12640_orig/00027.jpg b/sample/12640_orig/00027.jpg new file mode 100644 index 0000000000000000000000000000000000000000..3865d0b3c238983dc614288de452fbf4d7652b2e Binary files /dev/null and b/sample/12640_orig/00027.jpg differ diff --git a/sample/12640_orig/00028.jpg b/sample/12640_orig/00028.jpg new file mode 100644 index 0000000000000000000000000000000000000000..acba637f08b9c17e07c274d0c11899518babbec1 Binary files /dev/null and b/sample/12640_orig/00028.jpg differ diff --git a/sample/12640_orig/00029.jpg b/sample/12640_orig/00029.jpg new file mode 100644 index 0000000000000000000000000000000000000000..f67de3b91cb7a31dd97128a29322081484050e62 Binary files /dev/null and b/sample/12640_orig/00029.jpg differ diff --git a/sample/12640_orig/00030.jpg b/sample/12640_orig/00030.jpg new file mode 100644 index 0000000000000000000000000000000000000000..789ff1ddce907ca709a750739fb1a32d36863f38 Binary files /dev/null and b/sample/12640_orig/00030.jpg differ diff --git a/sample/12640_orig/00031.jpg b/sample/12640_orig/00031.jpg new file mode 100644 index 0000000000000000000000000000000000000000..5084874ad71cf45f7c051ad2172ff75977d2c0fc Binary files /dev/null and b/sample/12640_orig/00031.jpg differ diff --git a/sample/12640_orig/00032.jpg b/sample/12640_orig/00032.jpg new file mode 100644 index 0000000000000000000000000000000000000000..0a36ed352ce17b8f4d2e2297031ec478451a92e4 Binary files /dev/null and b/sample/12640_orig/00032.jpg differ diff --git a/sample/12640_orig/00033.jpg b/sample/12640_orig/00033.jpg new file mode 100644 index 0000000000000000000000000000000000000000..dbe29469634a73fc68776cf252c36cc1d2bbf38c Binary files /dev/null and b/sample/12640_orig/00033.jpg differ diff --git a/sample/12640_orig/00034.jpg b/sample/12640_orig/00034.jpg new file mode 100644 index 0000000000000000000000000000000000000000..3758ef48ebe5d94a48769e77824a157dcba9c951 Binary files /dev/null and b/sample/12640_orig/00034.jpg differ diff --git a/sample/12640_orig/00035.jpg b/sample/12640_orig/00035.jpg new file mode 100644 index 0000000000000000000000000000000000000000..cb9f768aff5231049dad38e49075a3b56566319d Binary files /dev/null and b/sample/12640_orig/00035.jpg differ diff --git a/sample/12640_orig/00036.jpg b/sample/12640_orig/00036.jpg new file mode 100644 index 0000000000000000000000000000000000000000..a9f257dca51ee55aa0cb5110924bb161107127bc Binary files /dev/null and b/sample/12640_orig/00036.jpg differ diff --git a/sample/12640_orig/00037.jpg b/sample/12640_orig/00037.jpg new file mode 100644 index 0000000000000000000000000000000000000000..ae78b3dea9f0ee83206c989a8a0a82fdaf3e14e5 Binary files /dev/null and b/sample/12640_orig/00037.jpg differ diff --git a/sample/12640_orig/00038.jpg b/sample/12640_orig/00038.jpg new file mode 100644 index 0000000000000000000000000000000000000000..56f8532d3c389359201a38d79d7488e1bb546d0d Binary files /dev/null and b/sample/12640_orig/00038.jpg differ diff --git a/sample/12640_orig/00039.jpg b/sample/12640_orig/00039.jpg new file mode 100644 index 0000000000000000000000000000000000000000..38086ce0ca8b8b7f181ef1ce15583781c9146881 Binary files /dev/null and b/sample/12640_orig/00039.jpg differ diff --git a/sample/12640_orig/00040.jpg b/sample/12640_orig/00040.jpg new file mode 100644 index 0000000000000000000000000000000000000000..50713fcbeadc9d62eb7b038e7e2b24d1827805bf Binary files /dev/null and b/sample/12640_orig/00040.jpg differ diff --git a/sample/12640_orig/00041.jpg b/sample/12640_orig/00041.jpg new file mode 100644 index 0000000000000000000000000000000000000000..e461e81790809831076fe1a34bbe8062e62e8e6b Binary files /dev/null and b/sample/12640_orig/00041.jpg differ diff --git a/sample/12640_orig/00042.jpg b/sample/12640_orig/00042.jpg new file mode 100644 index 0000000000000000000000000000000000000000..87426f5ca6ca76ffc408b3f26715abaaf0ac757a Binary files /dev/null and b/sample/12640_orig/00042.jpg differ diff --git a/sample/12640_orig/00043.jpg b/sample/12640_orig/00043.jpg new file mode 100644 index 0000000000000000000000000000000000000000..ca2067f8e0c807a6eb12de3adc93f775a1c343db Binary files /dev/null and b/sample/12640_orig/00043.jpg differ diff --git a/sample/12640_orig/00044.jpg b/sample/12640_orig/00044.jpg new file mode 100644 index 0000000000000000000000000000000000000000..7abbae87022cdd35814169f2208763014904950a Binary files /dev/null and b/sample/12640_orig/00044.jpg differ diff --git a/sample/12640_orig/00045.jpg b/sample/12640_orig/00045.jpg new file mode 100644 index 0000000000000000000000000000000000000000..f3da74d37394a1ecdc7c68e471a78d322aff0d3d Binary files /dev/null and b/sample/12640_orig/00045.jpg differ diff --git a/sample/12640_orig/00046.jpg b/sample/12640_orig/00046.jpg new file mode 100644 index 0000000000000000000000000000000000000000..e9933b0af97e34fb556affa9e855814e6de3d4f7 Binary files /dev/null and b/sample/12640_orig/00046.jpg differ diff --git a/sample/12640_orig/00047.jpg b/sample/12640_orig/00047.jpg new file mode 100644 index 0000000000000000000000000000000000000000..67a2ecf3c317a3d40a96826d49cce5424c729360 Binary files /dev/null and b/sample/12640_orig/00047.jpg differ diff --git a/sample/12640_orig/00048.jpg b/sample/12640_orig/00048.jpg new file mode 100644 index 0000000000000000000000000000000000000000..bd8c35820cdb8a57f5aa41b68556ed408ce9663c Binary files /dev/null and b/sample/12640_orig/00048.jpg differ diff --git a/sample/12640_orig/00049.jpg b/sample/12640_orig/00049.jpg new file mode 100644 index 0000000000000000000000000000000000000000..bf8098b23e75be86d3249a2c7853a4500e670efd Binary files /dev/null and b/sample/12640_orig/00049.jpg differ diff --git a/sample/12640_orig/00050.jpg b/sample/12640_orig/00050.jpg new file mode 100644 index 0000000000000000000000000000000000000000..9bea7c953e220ce06efc1fd6a6bf805fe4b6fa26 Binary files /dev/null and b/sample/12640_orig/00050.jpg differ diff --git a/sample/12640_orig/00051.jpg b/sample/12640_orig/00051.jpg new file mode 100644 index 0000000000000000000000000000000000000000..b843c6ade9b1efc5e15997615eb88b560b197790 Binary files /dev/null and b/sample/12640_orig/00051.jpg differ diff --git a/sample/12640_orig/00052.jpg b/sample/12640_orig/00052.jpg new file mode 100644 index 0000000000000000000000000000000000000000..152eff82aca180f1d5029725b4b01227eecad85b Binary files /dev/null and b/sample/12640_orig/00052.jpg differ diff --git a/sample/12640_orig/00053.jpg b/sample/12640_orig/00053.jpg new file mode 100644 index 0000000000000000000000000000000000000000..ef4088c4e23d4dcf0cffa529af84d65fd3e992d9 Binary files /dev/null and b/sample/12640_orig/00053.jpg differ diff --git a/sample/12640_orig/00054.jpg b/sample/12640_orig/00054.jpg new file mode 100644 index 0000000000000000000000000000000000000000..7da7013a2f6d2197a7c00276f7362e939883b9c8 Binary files /dev/null and b/sample/12640_orig/00054.jpg differ diff --git a/sample/12640_orig/00055.jpg b/sample/12640_orig/00055.jpg new file mode 100644 index 0000000000000000000000000000000000000000..df80f7f810569d959c1c8f88aa1cf08e3f084bf1 Binary files /dev/null and b/sample/12640_orig/00055.jpg differ diff --git a/sample/12640_orig/00056.jpg b/sample/12640_orig/00056.jpg new file mode 100644 index 0000000000000000000000000000000000000000..629f4eb04dc9f2b480d19260d5cc1d0cf4030025 Binary files /dev/null and b/sample/12640_orig/00056.jpg differ diff --git a/sample/12640_orig/00057.jpg b/sample/12640_orig/00057.jpg new file mode 100644 index 0000000000000000000000000000000000000000..3e00fc61178177c6cdb9df5142f9cf35912f2f55 Binary files /dev/null and b/sample/12640_orig/00057.jpg differ diff --git a/sample/12640_orig/00058.jpg b/sample/12640_orig/00058.jpg new file mode 100644 index 0000000000000000000000000000000000000000..4ea3ffc20a96a27f5234149597c7c9aa330b6cd1 Binary files /dev/null and b/sample/12640_orig/00058.jpg differ diff --git a/sample/12640_orig/00059.jpg b/sample/12640_orig/00059.jpg new file mode 100644 index 0000000000000000000000000000000000000000..349512371ed289dc485449877cc0946539804f3d Binary files /dev/null and b/sample/12640_orig/00059.jpg differ diff --git a/sample/12640_orig/00060.jpg b/sample/12640_orig/00060.jpg new file mode 100644 index 0000000000000000000000000000000000000000..36603151d4a707194478661c4ed78cc2d0e2182c Binary files /dev/null and b/sample/12640_orig/00060.jpg differ diff --git a/sample/12640_orig/00061.jpg b/sample/12640_orig/00061.jpg new file mode 100644 index 0000000000000000000000000000000000000000..25be1c1b4e19f6d39b98003230e3e8814142fc19 Binary files /dev/null and b/sample/12640_orig/00061.jpg differ diff --git a/sample/12640_orig/00062.jpg b/sample/12640_orig/00062.jpg new file mode 100644 index 0000000000000000000000000000000000000000..8155c799737f5f092f2ab98e7d81c6cc165a8abb Binary files /dev/null and b/sample/12640_orig/00062.jpg differ diff --git a/sample/12640_orig/00063.jpg b/sample/12640_orig/00063.jpg new file mode 100644 index 0000000000000000000000000000000000000000..6f337d8bd7e826052c72896ef786d3c05c0465e9 Binary files /dev/null and b/sample/12640_orig/00063.jpg differ diff --git a/sample/12640_orig/00064.jpg b/sample/12640_orig/00064.jpg new file mode 100644 index 0000000000000000000000000000000000000000..cf26b7d588b0c9843620c0622495a8ba4f5519e3 Binary files /dev/null and b/sample/12640_orig/00064.jpg differ diff --git a/sample/12640_orig/00065.jpg b/sample/12640_orig/00065.jpg new file mode 100644 index 0000000000000000000000000000000000000000..e2035398aa0ce3f9734b0f74e26e2440afc76e27 Binary files /dev/null and b/sample/12640_orig/00065.jpg differ diff --git a/sample/12640_orig/00066.jpg b/sample/12640_orig/00066.jpg new file mode 100644 index 0000000000000000000000000000000000000000..1d60b71413cf5e5d2c95c802997072f758a5e0a0 Binary files /dev/null and b/sample/12640_orig/00066.jpg differ diff --git a/sample/12640_orig/00067.jpg b/sample/12640_orig/00067.jpg new file mode 100644 index 0000000000000000000000000000000000000000..4b1acde1f3906e0c4447a100a0801c41c2c18254 Binary files /dev/null and b/sample/12640_orig/00067.jpg differ diff --git a/sample/12640_orig/00068.jpg b/sample/12640_orig/00068.jpg new file mode 100644 index 0000000000000000000000000000000000000000..8b4b03ab57c65efed99971b42299b0e9f04cc280 Binary files /dev/null and b/sample/12640_orig/00068.jpg differ diff --git a/sample/12640_orig/00069.jpg b/sample/12640_orig/00069.jpg new file mode 100644 index 0000000000000000000000000000000000000000..c17c366449338a96118f46e8e3a36dc3c90e7c74 Binary files /dev/null and b/sample/12640_orig/00069.jpg differ diff --git a/sample/12640_orig/00070.jpg b/sample/12640_orig/00070.jpg new file mode 100644 index 0000000000000000000000000000000000000000..8b3deb260ccca57f56fd7aef8088e89921fd62e5 Binary files /dev/null and b/sample/12640_orig/00070.jpg differ diff --git a/sample/12640_orig/00071.jpg b/sample/12640_orig/00071.jpg new file mode 100644 index 0000000000000000000000000000000000000000..7b6d850eec2c9b5abd9a7bf6a15ad3f6434198b1 Binary files /dev/null and b/sample/12640_orig/00071.jpg differ diff --git a/sample/12640_orig/00072.jpg b/sample/12640_orig/00072.jpg new file mode 100644 index 0000000000000000000000000000000000000000..59ea56de4ab3cacdfa94eb6ea15644126f36622a Binary files /dev/null and b/sample/12640_orig/00072.jpg differ diff --git a/sample/12640_orig/00073.jpg b/sample/12640_orig/00073.jpg new file mode 100644 index 0000000000000000000000000000000000000000..5086ab218c8ccd766b69de6105f86272390b7252 Binary files /dev/null and b/sample/12640_orig/00073.jpg differ diff --git a/sample/12640_orig/00074.jpg b/sample/12640_orig/00074.jpg new file mode 100644 index 0000000000000000000000000000000000000000..589482eeb4117ccc8d3fd8266e4896fec7f4283d Binary files /dev/null and b/sample/12640_orig/00074.jpg differ diff --git a/sample/12640_orig/00075.jpg b/sample/12640_orig/00075.jpg new file mode 100644 index 0000000000000000000000000000000000000000..3506f159d4d7c96eb7710ef9d680d1d2b187c741 Binary files /dev/null and b/sample/12640_orig/00075.jpg differ diff --git a/sample/12640_orig/00076.jpg b/sample/12640_orig/00076.jpg new file mode 100644 index 0000000000000000000000000000000000000000..4b45cbd700180431d0ed7b7ab9c579cb2ebc708f Binary files /dev/null and b/sample/12640_orig/00076.jpg differ diff --git a/sample/12640_orig/00077.jpg b/sample/12640_orig/00077.jpg new file mode 100644 index 0000000000000000000000000000000000000000..0d31046760a5182de35cfac4539bb6c20806a849 Binary files /dev/null and b/sample/12640_orig/00077.jpg differ diff --git a/sample/12640_orig/00078.jpg b/sample/12640_orig/00078.jpg new file mode 100644 index 0000000000000000000000000000000000000000..a0cbd13fc77bff112a9536482d3167edec0d216f Binary files /dev/null and b/sample/12640_orig/00078.jpg differ diff --git a/sample/12640_orig/00079.jpg b/sample/12640_orig/00079.jpg new file mode 100644 index 0000000000000000000000000000000000000000..05fe888c65af1f1fe96111ef37fd0f9865f36cd9 Binary files /dev/null and b/sample/12640_orig/00079.jpg differ diff --git a/sample/12640_orig/00080.jpg b/sample/12640_orig/00080.jpg new file mode 100644 index 0000000000000000000000000000000000000000..7028970bda75e64c2946ff1887124b2fa617d208 Binary files /dev/null and b/sample/12640_orig/00080.jpg differ diff --git a/sample/12640_orig/00081.jpg b/sample/12640_orig/00081.jpg new file mode 100644 index 0000000000000000000000000000000000000000..3a61c47e40d4482c9ad2af88452143e46d172f83 Binary files /dev/null and b/sample/12640_orig/00081.jpg differ diff --git a/sample/12640_orig/00082.jpg b/sample/12640_orig/00082.jpg new file mode 100644 index 0000000000000000000000000000000000000000..c8cf9e619b682447d2476dd97806a2f71dc0c313 Binary files /dev/null and b/sample/12640_orig/00082.jpg differ diff --git a/sample/12640_orig/00083.jpg b/sample/12640_orig/00083.jpg new file mode 100644 index 0000000000000000000000000000000000000000..44198cc5de0923666ad8abfef41471d3f2adaf94 Binary files /dev/null and b/sample/12640_orig/00083.jpg differ diff --git a/sample/12640_orig/00084.jpg b/sample/12640_orig/00084.jpg new file mode 100644 index 0000000000000000000000000000000000000000..bd315a9da26e8922db5b9017873b30a8aeaa6f0b Binary files /dev/null and b/sample/12640_orig/00084.jpg differ diff --git a/sample/12640_orig/00085.jpg b/sample/12640_orig/00085.jpg new file mode 100644 index 0000000000000000000000000000000000000000..4c354a45939ef73495eceac71b7da518934dc2a0 Binary files /dev/null and b/sample/12640_orig/00085.jpg differ diff --git a/sample/12640_orig/00086.jpg b/sample/12640_orig/00086.jpg new file mode 100644 index 0000000000000000000000000000000000000000..2211340560463f6e35f553ebe407a104d9352e4a Binary files /dev/null and b/sample/12640_orig/00086.jpg differ diff --git a/sample/12640_orig/00087.jpg b/sample/12640_orig/00087.jpg new file mode 100644 index 0000000000000000000000000000000000000000..a976c76a5f3331c2fc90ae8bd540c3feb3b466a1 Binary files /dev/null and b/sample/12640_orig/00087.jpg differ diff --git a/sample/12640_orig/00088.jpg b/sample/12640_orig/00088.jpg new file mode 100644 index 0000000000000000000000000000000000000000..646f53fc58413b6155c24a95db8bdf0afc970b80 Binary files /dev/null and b/sample/12640_orig/00088.jpg differ diff --git a/sample/12640_orig/00089.jpg b/sample/12640_orig/00089.jpg new file mode 100644 index 0000000000000000000000000000000000000000..baa70b980a8d78255b7444254ce89eb55b78e456 Binary files /dev/null and b/sample/12640_orig/00089.jpg differ diff --git a/sample/12640_orig/00090.jpg b/sample/12640_orig/00090.jpg new file mode 100644 index 0000000000000000000000000000000000000000..4f239c345b9942354f139b45294bc83485f057c3 Binary files /dev/null and b/sample/12640_orig/00090.jpg differ diff --git a/sample/12640_orig/00091.jpg b/sample/12640_orig/00091.jpg new file mode 100644 index 0000000000000000000000000000000000000000..8ab9ea541ebcc933617126bedf4929e57863a6f0 Binary files /dev/null and b/sample/12640_orig/00091.jpg differ diff --git a/sample/12640_orig/00092.jpg b/sample/12640_orig/00092.jpg new file mode 100644 index 0000000000000000000000000000000000000000..121f5bebf99c5077bb481a2ea1f36f5f8ff69140 Binary files /dev/null and b/sample/12640_orig/00092.jpg differ diff --git a/sample/12640_orig/00093.jpg b/sample/12640_orig/00093.jpg new file mode 100644 index 0000000000000000000000000000000000000000..fad5bd94f93d9141df2d40092f150e46088abc48 Binary files /dev/null and b/sample/12640_orig/00093.jpg differ diff --git a/sample/12640_orig/00094.jpg b/sample/12640_orig/00094.jpg new file mode 100644 index 0000000000000000000000000000000000000000..2d93ecaa9f5e9182f0cc86b04537e80b781a84b4 Binary files /dev/null and b/sample/12640_orig/00094.jpg differ diff --git a/sample/12640_orig/00095.jpg b/sample/12640_orig/00095.jpg new file mode 100644 index 0000000000000000000000000000000000000000..993c8dc1f605011e86748da70e51d0d821e2b41c Binary files /dev/null and b/sample/12640_orig/00095.jpg differ diff --git a/sample/12640_orig/00096.jpg b/sample/12640_orig/00096.jpg new file mode 100644 index 0000000000000000000000000000000000000000..1c6b9769a63776a916153e0400ede7ead55ce301 Binary files /dev/null and b/sample/12640_orig/00096.jpg differ diff --git a/sample/12640_orig/00097.jpg b/sample/12640_orig/00097.jpg new file mode 100644 index 0000000000000000000000000000000000000000..e883e529693650470b1e9aae8964fc6d16e1d5e7 Binary files /dev/null and b/sample/12640_orig/00097.jpg differ diff --git a/sample/12640_orig/00098.jpg b/sample/12640_orig/00098.jpg new file mode 100644 index 0000000000000000000000000000000000000000..763f1d7c7db57070bd5e0ee2c835d6f4464620a9 Binary files /dev/null and b/sample/12640_orig/00098.jpg differ diff --git a/sample/12640_orig/00099.jpg b/sample/12640_orig/00099.jpg new file mode 100644 index 0000000000000000000000000000000000000000..ce3d99a6e00a791716f7576765c2dd3edf5f48e5 Binary files /dev/null and b/sample/12640_orig/00099.jpg differ diff --git a/sample/12640_orig/00100.jpg b/sample/12640_orig/00100.jpg new file mode 100644 index 0000000000000000000000000000000000000000..c17045bc147c5ae75d76c575fd6b7322eda51660 Binary files /dev/null and b/sample/12640_orig/00100.jpg differ diff --git a/sample/13692_orig.json b/sample/13692_orig.json new file mode 100644 index 0000000000000000000000000000000000000000..560025dfcb571da02846b1c0cc75e2a59cb682e9 --- /dev/null +++ b/sample/13692_orig.json @@ -0,0 +1,281 @@ +{ + "bbox": { + "sample/13692_orig/00001.jpg": [ + 0, + 160, + 54, + 160 + ], + "sample/13692_orig/00002.jpg": [ + 0, + 161, + 54, + 161 + ], + "sample/13692_orig/00003.jpg": [ + 0, + 161, + 55, + 162 + ], + "sample/13692_orig/00004.jpg": [ + 0, + 162, + 54, + 163 + ], + "sample/13692_orig/00005.jpg": [ + 0, + 162, + 53, + 164 + ], + "sample/13692_orig/00006.jpg": [ + 0, + 163, + 53, + 165 + ], + "sample/13692_orig/00007.jpg": [ + 0, + 163, + 52, + 165 + ], + "sample/13692_orig/00008.jpg": [ + 0, + 163, + 52, + 165 + ], + "sample/13692_orig/00009.jpg": [ + 0, + 163, + 53, + 165 + ], + "sample/13692_orig/00010.jpg": [ + 0, + 163, + 53, + 164 + ], + "sample/13692_orig/00011.jpg": [ + 0, + 163, + 54, + 165 + ], + "sample/13692_orig/00012.jpg": [ + 0, + 163, + 54, + 164 + ], + "sample/13692_orig/00013.jpg": [ + 0, + 163, + 54, + 163 + ], + "sample/13692_orig/00014.jpg": [ + 0, + 163, + 54, + 163 + ], + "sample/13692_orig/00015.jpg": [ + 0, + 164, + 55, + 162 + ], + "sample/13692_orig/00016.jpg": [ + 0, + 164, + 55, + 161 + ], + "sample/13692_orig/00017.jpg": [ + 0, + 164, + 54, + 161 + ], + "sample/13692_orig/00018.jpg": [ + 0, + 163, + 54, + 160 + ], + "sample/13692_orig/00019.jpg": [ + 0, + 162, + 54, + 160 + ], + "sample/13692_orig/00020.jpg": [ + 0, + 161, + 54, + 159 + ], + "sample/13692_orig/00021.jpg": [ + 0, + 161, + 54, + 159 + ], + "sample/13692_orig/00022.jpg": [ + 0, + 161, + 55, + 160 + ], + "sample/13692_orig/00023.jpg": [ + 0, + 162, + 56, + 160 + ], + "sample/13692_orig/00024.jpg": [ + 0, + 162, + 56, + 161 + ], + "sample/13692_orig/00025.jpg": [ + 0, + 163, + 57, + 161 + ], + "sample/13692_orig/00026.jpg": [ + 0, + 163, + 57, + 161 + ], + "sample/13692_orig/00027.jpg": [ + 0, + 163, + 57, + 161 + ], + "sample/13692_orig/00028.jpg": [ + 0, + 162, + 57, + 160 + ], + "sample/13692_orig/00029.jpg": [ + 0, + 161, + 58, + 160 + ], + "sample/13692_orig/00030.jpg": [ + 0, + 161, + 57, + 161 + ], + "sample/13692_orig/00031.jpg": [ + 0, + 160, + 57, + 160 + ], + "sample/13692_orig/00032.jpg": [ + 0, + 161, + 57, + 160 + ], + "sample/13692_orig/00033.jpg": [ + 0, + 161, + 56, + 160 + ], + "sample/13692_orig/00034.jpg": [ + 0, + 161, + 56, + 160 + ], + "sample/13692_orig/00035.jpg": [ + 0, + 161, + 55, + 160 + ], + "sample/13692_orig/00036.jpg": [ + 0, + 161, + 55, + 159 + ], + "sample/13692_orig/00037.jpg": [ + 0, + 161, + 55, + 159 + ], + "sample/13692_orig/00038.jpg": [ + 0, + 161, + 55, + 160 + ], + "sample/13692_orig/00039.jpg": [ + 0, + 161, + 56, + 161 + ], + "sample/13692_orig/00040.jpg": [ + 0, + 160, + 56, + 161 + ], + "sample/13692_orig/00041.jpg": [ + 0, + 161, + 57, + 161 + ], + "sample/13692_orig/00042.jpg": [ + 0, + 161, + 57, + 162 + ], + "sample/13692_orig/00043.jpg": [ + 0, + 161, + 57, + 162 + ], + "sample/13692_orig/00044.jpg": [ + 0, + 161, + 57, + 162 + ], + "sample/13692_orig/00045.jpg": [ + 0, + 161, + 57, + 162 + ], + "sample/13692_orig/00046.jpg": [ + 0, + 161, + 56, + 162 + ] + }, + "format": "(y1, y2, x1, x2)" +} \ No newline at end of file diff --git a/sample/13692_orig.mp4 b/sample/13692_orig.mp4 new file mode 100644 index 0000000000000000000000000000000000000000..891b9863f23f4a84a0507f235ab15b0db810de28 Binary files /dev/null and b/sample/13692_orig.mp4 differ diff --git a/sample/13692_orig.wav b/sample/13692_orig.wav new file mode 100644 index 0000000000000000000000000000000000000000..d79169ee733ca4865a52fa12832f405e9f67573a Binary files /dev/null and b/sample/13692_orig.wav differ diff --git a/sample/13692_orig/00001.jpg b/sample/13692_orig/00001.jpg new file mode 100644 index 0000000000000000000000000000000000000000..17460413ab8f1ba0ea213f799a2873f36d23929c Binary files /dev/null and b/sample/13692_orig/00001.jpg differ diff --git a/sample/13692_orig/00002.jpg b/sample/13692_orig/00002.jpg new file mode 100644 index 0000000000000000000000000000000000000000..202d301f9e1b74c95c6b46815eb3b0fbfcd85887 Binary files /dev/null and b/sample/13692_orig/00002.jpg differ diff --git a/sample/13692_orig/00003.jpg b/sample/13692_orig/00003.jpg new file mode 100644 index 0000000000000000000000000000000000000000..3e8d3e02da5e184e144aa4a1e6b2568392c431ff Binary files /dev/null and b/sample/13692_orig/00003.jpg differ diff --git a/sample/13692_orig/00004.jpg b/sample/13692_orig/00004.jpg new file mode 100644 index 0000000000000000000000000000000000000000..7ca95f310c30fa875626b7cd2e96f8efdf7ccdcd Binary files /dev/null and b/sample/13692_orig/00004.jpg differ diff --git a/sample/13692_orig/00005.jpg b/sample/13692_orig/00005.jpg new file mode 100644 index 0000000000000000000000000000000000000000..733553dac58ac2d2ff333b8c30d7e5c2fca555fc Binary files /dev/null and b/sample/13692_orig/00005.jpg differ diff --git a/sample/13692_orig/00006.jpg b/sample/13692_orig/00006.jpg new file mode 100644 index 0000000000000000000000000000000000000000..d37a7d6583e88b1619afa71bddc06f4448a17fcb Binary files /dev/null and b/sample/13692_orig/00006.jpg differ diff --git a/sample/13692_orig/00007.jpg b/sample/13692_orig/00007.jpg new file mode 100644 index 0000000000000000000000000000000000000000..fb911800129ce426edd8f9b9caaeaa3ca2d4aa73 Binary files /dev/null and b/sample/13692_orig/00007.jpg differ diff --git a/sample/13692_orig/00008.jpg b/sample/13692_orig/00008.jpg new file mode 100644 index 0000000000000000000000000000000000000000..66cd615835a84dffc3149f2a07fb064114d42357 Binary files /dev/null and b/sample/13692_orig/00008.jpg differ diff --git a/sample/13692_orig/00009.jpg b/sample/13692_orig/00009.jpg new file mode 100644 index 0000000000000000000000000000000000000000..2e355b7eaa2e08049be0daff524df725baca9931 Binary files /dev/null and b/sample/13692_orig/00009.jpg differ diff --git a/sample/13692_orig/00010.jpg b/sample/13692_orig/00010.jpg new file mode 100644 index 0000000000000000000000000000000000000000..acbd79c2c1fc3eaf27e907c85ccfd7880494a53b Binary files /dev/null and b/sample/13692_orig/00010.jpg differ diff --git a/sample/13692_orig/00011.jpg b/sample/13692_orig/00011.jpg new file mode 100644 index 0000000000000000000000000000000000000000..a576b14371a625243b551efd3bb824335b3b3312 Binary files /dev/null and b/sample/13692_orig/00011.jpg differ diff --git a/sample/13692_orig/00012.jpg b/sample/13692_orig/00012.jpg new file mode 100644 index 0000000000000000000000000000000000000000..cc6c0af4fa4337afac73ebf511bc1e9790407cb8 Binary files /dev/null and b/sample/13692_orig/00012.jpg differ diff --git a/sample/13692_orig/00013.jpg b/sample/13692_orig/00013.jpg new file mode 100644 index 0000000000000000000000000000000000000000..4996f71c4d5c3001fcf4730154670dadc36f1b7c Binary files /dev/null and b/sample/13692_orig/00013.jpg differ diff --git a/sample/13692_orig/00014.jpg b/sample/13692_orig/00014.jpg new file mode 100644 index 0000000000000000000000000000000000000000..1daeec41f3c172abfe6952c1dab09d98d743f3f5 Binary files /dev/null and b/sample/13692_orig/00014.jpg differ diff --git a/sample/13692_orig/00015.jpg b/sample/13692_orig/00015.jpg new file mode 100644 index 0000000000000000000000000000000000000000..c39af644003c5b55fa1bd2dce9d2262dd9be2042 Binary files /dev/null and b/sample/13692_orig/00015.jpg differ diff --git a/sample/13692_orig/00016.jpg b/sample/13692_orig/00016.jpg new file mode 100644 index 0000000000000000000000000000000000000000..5d52fad3f7b32ca579ab7932a1c08060424efbb0 Binary files /dev/null and b/sample/13692_orig/00016.jpg differ diff --git a/sample/13692_orig/00017.jpg b/sample/13692_orig/00017.jpg new file mode 100644 index 0000000000000000000000000000000000000000..123b8bf069f40b2f3da4bca2675b1ef9d42f8500 Binary files /dev/null and b/sample/13692_orig/00017.jpg differ diff --git a/sample/13692_orig/00018.jpg b/sample/13692_orig/00018.jpg new file mode 100644 index 0000000000000000000000000000000000000000..7c1c6f116f91d51ba8be8083d0fa10381e48acef Binary files /dev/null and b/sample/13692_orig/00018.jpg differ diff --git a/sample/13692_orig/00019.jpg b/sample/13692_orig/00019.jpg new file mode 100644 index 0000000000000000000000000000000000000000..42c78b1d23e8c59a8644f905e729da3fced8585b Binary files /dev/null and b/sample/13692_orig/00019.jpg differ diff --git a/sample/13692_orig/00020.jpg b/sample/13692_orig/00020.jpg new file mode 100644 index 0000000000000000000000000000000000000000..19024151eb87502af6e91bc7cfd96644fc2e0282 Binary files /dev/null and b/sample/13692_orig/00020.jpg differ diff --git a/sample/13692_orig/00021.jpg b/sample/13692_orig/00021.jpg new file mode 100644 index 0000000000000000000000000000000000000000..300403d84f0b487cd93539f783e819c9260d7be7 Binary files /dev/null and b/sample/13692_orig/00021.jpg differ diff --git a/sample/13692_orig/00022.jpg b/sample/13692_orig/00022.jpg new file mode 100644 index 0000000000000000000000000000000000000000..c95eedccb0cffb8bd544205c946652d50ab0dc5b Binary files /dev/null and b/sample/13692_orig/00022.jpg differ diff --git a/sample/13692_orig/00023.jpg b/sample/13692_orig/00023.jpg new file mode 100644 index 0000000000000000000000000000000000000000..3a0bf71d7f6baa5e5214d7243e02899f71977eeb Binary files /dev/null and b/sample/13692_orig/00023.jpg differ diff --git a/sample/13692_orig/00024.jpg b/sample/13692_orig/00024.jpg new file mode 100644 index 0000000000000000000000000000000000000000..6476ab684c9b25f95494614008d05f43d5db8612 Binary files /dev/null and b/sample/13692_orig/00024.jpg differ diff --git a/sample/13692_orig/00025.jpg b/sample/13692_orig/00025.jpg new file mode 100644 index 0000000000000000000000000000000000000000..c44c3de7c506671e9e0c3ec70bf5f928502d371c Binary files /dev/null and b/sample/13692_orig/00025.jpg differ diff --git a/sample/13692_orig/00026.jpg b/sample/13692_orig/00026.jpg new file mode 100644 index 0000000000000000000000000000000000000000..799bffc602370902737793e696bae41e73331d83 Binary files /dev/null and b/sample/13692_orig/00026.jpg differ diff --git a/sample/13692_orig/00027.jpg b/sample/13692_orig/00027.jpg new file mode 100644 index 0000000000000000000000000000000000000000..164cde3743ee836207d728764d3ea16e6d1add70 Binary files /dev/null and b/sample/13692_orig/00027.jpg differ diff --git a/sample/13692_orig/00028.jpg b/sample/13692_orig/00028.jpg new file mode 100644 index 0000000000000000000000000000000000000000..ddfdf89ef080f33f9b77f730b35fd87cf1fc06b9 Binary files /dev/null and b/sample/13692_orig/00028.jpg differ diff --git a/sample/13692_orig/00029.jpg b/sample/13692_orig/00029.jpg new file mode 100644 index 0000000000000000000000000000000000000000..9b884fd5ab30c5627613ab1dfcc1ba0ec383d22f Binary files /dev/null and b/sample/13692_orig/00029.jpg differ diff --git a/sample/13692_orig/00030.jpg b/sample/13692_orig/00030.jpg new file mode 100644 index 0000000000000000000000000000000000000000..4ee568a69bf74680f211f26c75b5c43466c31c95 Binary files /dev/null and b/sample/13692_orig/00030.jpg differ diff --git a/sample/13692_orig/00031.jpg b/sample/13692_orig/00031.jpg new file mode 100644 index 0000000000000000000000000000000000000000..7ea6a5b4e0b573ac556d7bea7e11c846d5e71838 Binary files /dev/null and b/sample/13692_orig/00031.jpg differ diff --git a/sample/13692_orig/00032.jpg b/sample/13692_orig/00032.jpg new file mode 100644 index 0000000000000000000000000000000000000000..871e627c5f5a0e884ed4871432a4282ceb6339ed Binary files /dev/null and b/sample/13692_orig/00032.jpg differ diff --git a/sample/13692_orig/00033.jpg b/sample/13692_orig/00033.jpg new file mode 100644 index 0000000000000000000000000000000000000000..a1ae60730c05fe72b843cc7cb377bccb5e06c63c Binary files /dev/null and b/sample/13692_orig/00033.jpg differ diff --git a/sample/13692_orig/00034.jpg b/sample/13692_orig/00034.jpg new file mode 100644 index 0000000000000000000000000000000000000000..2d2289d133e0f7b9d24a69e9ae6f32c6d9a3c284 Binary files /dev/null and b/sample/13692_orig/00034.jpg differ diff --git a/sample/13692_orig/00035.jpg b/sample/13692_orig/00035.jpg new file mode 100644 index 0000000000000000000000000000000000000000..ee5c0360246414a89250dc216fa7a8833d5e09ae Binary files /dev/null and b/sample/13692_orig/00035.jpg differ diff --git a/sample/13692_orig/00036.jpg b/sample/13692_orig/00036.jpg new file mode 100644 index 0000000000000000000000000000000000000000..a9e8f4e5bdb0f4c8ed61887aadffa963f86b558f Binary files /dev/null and b/sample/13692_orig/00036.jpg differ diff --git a/sample/13692_orig/00037.jpg b/sample/13692_orig/00037.jpg new file mode 100644 index 0000000000000000000000000000000000000000..3d2f1306a2cc78809f10499409cc1ae781a4729b Binary files /dev/null and b/sample/13692_orig/00037.jpg differ diff --git a/sample/13692_orig/00038.jpg b/sample/13692_orig/00038.jpg new file mode 100644 index 0000000000000000000000000000000000000000..0637861f464068f08ba4fcf35fcebc2cdfd62007 Binary files /dev/null and b/sample/13692_orig/00038.jpg differ diff --git a/sample/13692_orig/00039.jpg b/sample/13692_orig/00039.jpg new file mode 100644 index 0000000000000000000000000000000000000000..ce9615157cac7d420ad60e244d900faa27be813a Binary files /dev/null and b/sample/13692_orig/00039.jpg differ diff --git a/sample/13692_orig/00040.jpg b/sample/13692_orig/00040.jpg new file mode 100644 index 0000000000000000000000000000000000000000..69850be71f4646bcaa401f6718f203bb3d04861c Binary files /dev/null and b/sample/13692_orig/00040.jpg differ diff --git a/sample/13692_orig/00041.jpg b/sample/13692_orig/00041.jpg new file mode 100644 index 0000000000000000000000000000000000000000..aa23ffe28bda2584e3a75614e22ddaec8e0c6111 Binary files /dev/null and b/sample/13692_orig/00041.jpg differ diff --git a/sample/13692_orig/00042.jpg b/sample/13692_orig/00042.jpg new file mode 100644 index 0000000000000000000000000000000000000000..a03c412070d2194d0adc3896c7a3cd0f14668a1a Binary files /dev/null and b/sample/13692_orig/00042.jpg differ diff --git a/sample/13692_orig/00043.jpg b/sample/13692_orig/00043.jpg new file mode 100644 index 0000000000000000000000000000000000000000..24f2d2ee9e336005c0ded8043e673e78037348ef Binary files /dev/null and b/sample/13692_orig/00043.jpg differ diff --git a/sample/13692_orig/00044.jpg b/sample/13692_orig/00044.jpg new file mode 100644 index 0000000000000000000000000000000000000000..4fca4d5dae39713d1a1313bd05987b3574c8f196 Binary files /dev/null and b/sample/13692_orig/00044.jpg differ diff --git a/sample/13692_orig/00045.jpg b/sample/13692_orig/00045.jpg new file mode 100644 index 0000000000000000000000000000000000000000..60ba797a8ed777ec3fe2f8cebc284eaddeefab3a Binary files /dev/null and b/sample/13692_orig/00045.jpg differ diff --git a/sample/13692_orig/00046.jpg b/sample/13692_orig/00046.jpg new file mode 100644 index 0000000000000000000000000000000000000000..396ea8eca5ae00f5c4009984a458d495f90e28ce Binary files /dev/null and b/sample/13692_orig/00046.jpg differ diff --git a/sample/1673_orig.json b/sample/1673_orig.json new file mode 100644 index 0000000000000000000000000000000000000000..9a9b57f9820eaf7c360a11fede32f0481e2f36c2 --- /dev/null +++ b/sample/1673_orig.json @@ -0,0 +1,299 @@ +{ + "bbox": { + "sample/1673_orig/00001.jpg": [ + 3, + 165, + 46, + 170 + ], + "sample/1673_orig/00002.jpg": [ + 4, + 164, + 46, + 168 + ], + "sample/1673_orig/00003.jpg": [ + 3, + 164, + 46, + 167 + ], + "sample/1673_orig/00004.jpg": [ + 3, + 164, + 46, + 167 + ], + "sample/1673_orig/00005.jpg": [ + 3, + 164, + 47, + 167 + ], + "sample/1673_orig/00006.jpg": [ + 3, + 163, + 47, + 167 + ], + "sample/1673_orig/00007.jpg": [ + 3, + 162, + 47, + 167 + ], + "sample/1673_orig/00008.jpg": [ + 3, + 162, + 48, + 167 + ], + "sample/1673_orig/00009.jpg": [ + 3, + 162, + 48, + 167 + ], + "sample/1673_orig/00010.jpg": [ + 3, + 162, + 47, + 165 + ], + "sample/1673_orig/00011.jpg": [ + 2, + 162, + 47, + 164 + ], + "sample/1673_orig/00012.jpg": [ + 2, + 163, + 47, + 165 + ], + "sample/1673_orig/00013.jpg": [ + 2, + 163, + 47, + 165 + ], + "sample/1673_orig/00014.jpg": [ + 2, + 163, + 47, + 166 + ], + "sample/1673_orig/00015.jpg": [ + 3, + 164, + 48, + 167 + ], + "sample/1673_orig/00016.jpg": [ + 3, + 164, + 49, + 167 + ], + "sample/1673_orig/00017.jpg": [ + 3, + 165, + 48, + 167 + ], + "sample/1673_orig/00018.jpg": [ + 2, + 165, + 47, + 166 + ], + "sample/1673_orig/00019.jpg": [ + 2, + 166, + 46, + 166 + ], + "sample/1673_orig/00020.jpg": [ + 2, + 166, + 46, + 166 + ], + "sample/1673_orig/00021.jpg": [ + 2, + 165, + 46, + 166 + ], + "sample/1673_orig/00022.jpg": [ + 1, + 165, + 46, + 167 + ], + "sample/1673_orig/00023.jpg": [ + 1, + 164, + 47, + 167 + ], + "sample/1673_orig/00024.jpg": [ + 1, + 165, + 47, + 167 + ], + "sample/1673_orig/00025.jpg": [ + 1, + 165, + 46, + 167 + ], + "sample/1673_orig/00026.jpg": [ + 1, + 165, + 47, + 167 + ], + "sample/1673_orig/00027.jpg": [ + 1, + 166, + 48, + 167 + ], + "sample/1673_orig/00028.jpg": [ + 1, + 168, + 48, + 168 + ], + "sample/1673_orig/00029.jpg": [ + 1, + 168, + 48, + 168 + ], + "sample/1673_orig/00030.jpg": [ + 2, + 168, + 50, + 168 + ], + "sample/1673_orig/00031.jpg": [ + 2, + 170, + 50, + 169 + ], + "sample/1673_orig/00032.jpg": [ + 3, + 169, + 48, + 166 + ], + "sample/1673_orig/00033.jpg": [ + 2, + 167, + 48, + 165 + ], + "sample/1673_orig/00034.jpg": [ + 2, + 167, + 47, + 165 + ], + "sample/1673_orig/00035.jpg": [ + 2, + 167, + 47, + 164 + ], + "sample/1673_orig/00036.jpg": [ + 3, + 167, + 47, + 163 + ], + "sample/1673_orig/00037.jpg": [ + 3, + 167, + 49, + 164 + ], + "sample/1673_orig/00038.jpg": [ + 3, + 168, + 49, + 164 + ], + "sample/1673_orig/00039.jpg": [ + 3, + 168, + 49, + 163 + ], + "sample/1673_orig/00040.jpg": [ + 3, + 168, + 50, + 163 + ], + "sample/1673_orig/00041.jpg": [ + 2, + 167, + 49, + 163 + ], + "sample/1673_orig/00042.jpg": [ + 2, + 167, + 48, + 163 + ], + "sample/1673_orig/00043.jpg": [ + 2, + 167, + 48, + 162 + ], + "sample/1673_orig/00044.jpg": [ + 1, + 166, + 49, + 163 + ], + "sample/1673_orig/00045.jpg": [ + 2, + 166, + 48, + 164 + ], + "sample/1673_orig/00046.jpg": [ + 2, + 167, + 48, + 164 + ], + "sample/1673_orig/00047.jpg": [ + 2, + 167, + 49, + 164 + ], + "sample/1673_orig/00048.jpg": [ + 2, + 167, + 49, + 164 + ], + "sample/1673_orig/00049.jpg": [ + 2, + 167, + 48, + 164 + ] + }, + "format": "(y1, y2, x1, x2)" +} \ No newline at end of file diff --git a/sample/1673_orig.mp4 b/sample/1673_orig.mp4 new file mode 100644 index 0000000000000000000000000000000000000000..fe09b4dd0e4b6db1717bcc126a257131cd280e05 Binary files /dev/null and b/sample/1673_orig.mp4 differ diff --git a/sample/1673_orig.wav b/sample/1673_orig.wav new file mode 100644 index 0000000000000000000000000000000000000000..2ce2d9e9fad9c27b5300e34abd2941e0eb965db8 Binary files /dev/null and b/sample/1673_orig.wav differ diff --git a/sample/1673_orig/00001.jpg b/sample/1673_orig/00001.jpg new file mode 100644 index 0000000000000000000000000000000000000000..411dfd23b261a3b543ac6b298ddc5bcbe788a361 Binary files /dev/null and b/sample/1673_orig/00001.jpg differ diff --git a/sample/1673_orig/00002.jpg b/sample/1673_orig/00002.jpg new file mode 100644 index 0000000000000000000000000000000000000000..34fad9421383953a66e91f359ba7cf8519c5ef1a Binary files /dev/null and b/sample/1673_orig/00002.jpg differ diff --git a/sample/1673_orig/00003.jpg b/sample/1673_orig/00003.jpg new file mode 100644 index 0000000000000000000000000000000000000000..2e4572f4c2bf4fdabbbde9d606698fd9cbcfec19 Binary files /dev/null and b/sample/1673_orig/00003.jpg differ diff --git a/sample/1673_orig/00004.jpg b/sample/1673_orig/00004.jpg new file mode 100644 index 0000000000000000000000000000000000000000..7e0c72fd7367535e3117749fcf6f52d499a6eaaa Binary files /dev/null and b/sample/1673_orig/00004.jpg differ diff --git a/sample/1673_orig/00005.jpg b/sample/1673_orig/00005.jpg new file mode 100644 index 0000000000000000000000000000000000000000..faeed3586d5fa5150ccb8af03a00e3cd905e183b Binary files /dev/null and b/sample/1673_orig/00005.jpg differ diff --git a/sample/1673_orig/00006.jpg b/sample/1673_orig/00006.jpg new file mode 100644 index 0000000000000000000000000000000000000000..f553a5e1eec6286069a838e56b22071f02357d60 Binary files /dev/null and b/sample/1673_orig/00006.jpg differ diff --git a/sample/1673_orig/00007.jpg b/sample/1673_orig/00007.jpg new file mode 100644 index 0000000000000000000000000000000000000000..2eede48bd6299657bf0da708175d073c4b79f1c3 Binary files /dev/null and b/sample/1673_orig/00007.jpg differ diff --git a/sample/1673_orig/00008.jpg b/sample/1673_orig/00008.jpg new file mode 100644 index 0000000000000000000000000000000000000000..ae6519de97f249efbd8a64f19e1743de575694e1 Binary files /dev/null and b/sample/1673_orig/00008.jpg differ diff --git a/sample/1673_orig/00009.jpg b/sample/1673_orig/00009.jpg new file mode 100644 index 0000000000000000000000000000000000000000..11c7f489db6953e805e82e605d13ffa32d02b825 Binary files /dev/null and b/sample/1673_orig/00009.jpg differ diff --git a/sample/1673_orig/00010.jpg b/sample/1673_orig/00010.jpg new file mode 100644 index 0000000000000000000000000000000000000000..fd8683770fb4e439aa7d2ffb5316aaeca21ae61f Binary files /dev/null and b/sample/1673_orig/00010.jpg differ diff --git a/sample/1673_orig/00011.jpg b/sample/1673_orig/00011.jpg new file mode 100644 index 0000000000000000000000000000000000000000..31969ae46e7ebeb47e8f85a0ae6f9588f9d4e3f5 Binary files /dev/null and b/sample/1673_orig/00011.jpg differ diff --git a/sample/1673_orig/00012.jpg b/sample/1673_orig/00012.jpg new file mode 100644 index 0000000000000000000000000000000000000000..ce45859534e96aa5627f69a9859071e33414aa8a Binary files /dev/null and b/sample/1673_orig/00012.jpg differ diff --git a/sample/1673_orig/00013.jpg b/sample/1673_orig/00013.jpg new file mode 100644 index 0000000000000000000000000000000000000000..c14e5be08fd0f51d252b2434dca8be5e3e140c3f Binary files /dev/null and b/sample/1673_orig/00013.jpg differ diff --git a/sample/1673_orig/00014.jpg b/sample/1673_orig/00014.jpg new file mode 100644 index 0000000000000000000000000000000000000000..34855c8a77550ba148dbd1aae7b64699bfdfba09 Binary files /dev/null and b/sample/1673_orig/00014.jpg differ diff --git a/sample/1673_orig/00015.jpg b/sample/1673_orig/00015.jpg new file mode 100644 index 0000000000000000000000000000000000000000..4081ea5ef908ceb99f9ed4983fdafc7d2d9544cb Binary files /dev/null and b/sample/1673_orig/00015.jpg differ diff --git a/sample/1673_orig/00016.jpg b/sample/1673_orig/00016.jpg new file mode 100644 index 0000000000000000000000000000000000000000..fe06604749cf0f858be94c066e7f7a61eeae1fdc Binary files /dev/null and b/sample/1673_orig/00016.jpg differ diff --git a/sample/1673_orig/00017.jpg b/sample/1673_orig/00017.jpg new file mode 100644 index 0000000000000000000000000000000000000000..b24698c241a3ce7cae234e517e8a891ac6387471 Binary files /dev/null and b/sample/1673_orig/00017.jpg differ diff --git a/sample/1673_orig/00018.jpg b/sample/1673_orig/00018.jpg new file mode 100644 index 0000000000000000000000000000000000000000..2c320949d4191d3ba5a29c10f92022cbd4271186 Binary files /dev/null and b/sample/1673_orig/00018.jpg differ diff --git a/sample/1673_orig/00019.jpg b/sample/1673_orig/00019.jpg new file mode 100644 index 0000000000000000000000000000000000000000..fc993fd0b75e3a8eb72430a48a4e7d03be6f03cd Binary files /dev/null and b/sample/1673_orig/00019.jpg differ diff --git a/sample/1673_orig/00020.jpg b/sample/1673_orig/00020.jpg new file mode 100644 index 0000000000000000000000000000000000000000..60f3eeaf1ac6fe35ed5d9b20fa02a43bdda13b60 Binary files /dev/null and b/sample/1673_orig/00020.jpg differ diff --git a/sample/1673_orig/00021.jpg b/sample/1673_orig/00021.jpg new file mode 100644 index 0000000000000000000000000000000000000000..781543591f88710cbbe067fb0b583b00be899373 Binary files /dev/null and b/sample/1673_orig/00021.jpg differ diff --git a/sample/1673_orig/00022.jpg b/sample/1673_orig/00022.jpg new file mode 100644 index 0000000000000000000000000000000000000000..9e001d32fbca3cc7aee83eb0f7efe5ec02826817 Binary files /dev/null and b/sample/1673_orig/00022.jpg differ diff --git a/sample/1673_orig/00023.jpg b/sample/1673_orig/00023.jpg new file mode 100644 index 0000000000000000000000000000000000000000..19669379377d6aa53bf99277d26ce67b4620b35f Binary files /dev/null and b/sample/1673_orig/00023.jpg differ diff --git a/sample/1673_orig/00024.jpg b/sample/1673_orig/00024.jpg new file mode 100644 index 0000000000000000000000000000000000000000..62e454a56fe7ac38b063ef79875e3fd55e0b4480 Binary files /dev/null and b/sample/1673_orig/00024.jpg differ diff --git a/sample/1673_orig/00025.jpg b/sample/1673_orig/00025.jpg new file mode 100644 index 0000000000000000000000000000000000000000..8e2cd4849b402db399034589085295136edd5227 Binary files /dev/null and b/sample/1673_orig/00025.jpg differ diff --git a/sample/1673_orig/00026.jpg b/sample/1673_orig/00026.jpg new file mode 100644 index 0000000000000000000000000000000000000000..906e25199ef525203b4b6ddf2a57a0644c3dfec6 Binary files /dev/null and b/sample/1673_orig/00026.jpg differ diff --git a/sample/1673_orig/00027.jpg b/sample/1673_orig/00027.jpg new file mode 100644 index 0000000000000000000000000000000000000000..137520dbe41844f082e07acb4993e68d14ebc60e Binary files /dev/null and b/sample/1673_orig/00027.jpg differ diff --git a/sample/1673_orig/00028.jpg b/sample/1673_orig/00028.jpg new file mode 100644 index 0000000000000000000000000000000000000000..45bc9e71c74101866161719e84bfb976858bf9d0 Binary files /dev/null and b/sample/1673_orig/00028.jpg differ diff --git a/sample/1673_orig/00029.jpg b/sample/1673_orig/00029.jpg new file mode 100644 index 0000000000000000000000000000000000000000..a265ffa2fd6a040d1d4f46c63597ba7fd431dcb9 Binary files /dev/null and b/sample/1673_orig/00029.jpg differ diff --git a/sample/1673_orig/00030.jpg b/sample/1673_orig/00030.jpg new file mode 100644 index 0000000000000000000000000000000000000000..8bf64c76f40c7e90dde70528976e5d5b492f9160 Binary files /dev/null and b/sample/1673_orig/00030.jpg differ diff --git a/sample/1673_orig/00031.jpg b/sample/1673_orig/00031.jpg new file mode 100644 index 0000000000000000000000000000000000000000..1ffdca65c6973ad2a8f0336aadccfde4f5aef53b Binary files /dev/null and b/sample/1673_orig/00031.jpg differ diff --git a/sample/1673_orig/00032.jpg b/sample/1673_orig/00032.jpg new file mode 100644 index 0000000000000000000000000000000000000000..4ff09ecc6d480e16958fff9236007717ed7b971c Binary files /dev/null and b/sample/1673_orig/00032.jpg differ diff --git a/sample/1673_orig/00033.jpg b/sample/1673_orig/00033.jpg new file mode 100644 index 0000000000000000000000000000000000000000..d7f58882cabaf8c8567fdaffb7becc440076e203 Binary files /dev/null and b/sample/1673_orig/00033.jpg differ diff --git a/sample/1673_orig/00034.jpg b/sample/1673_orig/00034.jpg new file mode 100644 index 0000000000000000000000000000000000000000..c0c574fcac4446570dc42ba3683570862f5a9669 Binary files /dev/null and b/sample/1673_orig/00034.jpg differ diff --git a/sample/1673_orig/00035.jpg b/sample/1673_orig/00035.jpg new file mode 100644 index 0000000000000000000000000000000000000000..7868d565de8b8750701c0c02076de80e7af08c3f Binary files /dev/null and b/sample/1673_orig/00035.jpg differ diff --git a/sample/1673_orig/00036.jpg b/sample/1673_orig/00036.jpg new file mode 100644 index 0000000000000000000000000000000000000000..920270a0e3b602a9e48003689980218f45513e91 Binary files /dev/null and b/sample/1673_orig/00036.jpg differ diff --git a/sample/1673_orig/00037.jpg b/sample/1673_orig/00037.jpg new file mode 100644 index 0000000000000000000000000000000000000000..6eee4e4d64029737eaf79ebb572cf9f17637e69c Binary files /dev/null and b/sample/1673_orig/00037.jpg differ diff --git a/sample/1673_orig/00038.jpg b/sample/1673_orig/00038.jpg new file mode 100644 index 0000000000000000000000000000000000000000..f37f0f1dfab86f4d5c01d3bb36923dd4fca61853 Binary files /dev/null and b/sample/1673_orig/00038.jpg differ diff --git a/sample/1673_orig/00039.jpg b/sample/1673_orig/00039.jpg new file mode 100644 index 0000000000000000000000000000000000000000..1c049a602665dabc994214b65105aa7078bd2440 Binary files /dev/null and b/sample/1673_orig/00039.jpg differ diff --git a/sample/1673_orig/00040.jpg b/sample/1673_orig/00040.jpg new file mode 100644 index 0000000000000000000000000000000000000000..c9edbb93d979d062dfda10e4c496b3bae5398154 Binary files /dev/null and b/sample/1673_orig/00040.jpg differ diff --git a/sample/1673_orig/00041.jpg b/sample/1673_orig/00041.jpg new file mode 100644 index 0000000000000000000000000000000000000000..2024dc1cb19d8190b83b7a46e7e6885befaf3c40 Binary files /dev/null and b/sample/1673_orig/00041.jpg differ diff --git a/sample/1673_orig/00042.jpg b/sample/1673_orig/00042.jpg new file mode 100644 index 0000000000000000000000000000000000000000..cc460871526acbeaa18a996f43e70bedb3da9f53 Binary files /dev/null and b/sample/1673_orig/00042.jpg differ diff --git a/sample/1673_orig/00043.jpg b/sample/1673_orig/00043.jpg new file mode 100644 index 0000000000000000000000000000000000000000..2db85bffcc1c3477dfef783d7db3a615412286fa Binary files /dev/null and b/sample/1673_orig/00043.jpg differ diff --git a/sample/1673_orig/00044.jpg b/sample/1673_orig/00044.jpg new file mode 100644 index 0000000000000000000000000000000000000000..d9ba8b9fd844685c3a6121e81297a62f4e95dbc7 Binary files /dev/null and b/sample/1673_orig/00044.jpg differ diff --git a/sample/1673_orig/00045.jpg b/sample/1673_orig/00045.jpg new file mode 100644 index 0000000000000000000000000000000000000000..6824276847c350e5b6b7c2d9c826d177540de669 Binary files /dev/null and b/sample/1673_orig/00045.jpg differ diff --git a/sample/1673_orig/00046.jpg b/sample/1673_orig/00046.jpg new file mode 100644 index 0000000000000000000000000000000000000000..c08b0e447d8913f7088d68a8b7ca85375e3f388b Binary files /dev/null and b/sample/1673_orig/00046.jpg differ diff --git a/sample/1673_orig/00047.jpg b/sample/1673_orig/00047.jpg new file mode 100644 index 0000000000000000000000000000000000000000..30c0e373fd6965fcdf0b501791171e2f13e8d073 Binary files /dev/null and b/sample/1673_orig/00047.jpg differ diff --git a/sample/1673_orig/00048.jpg b/sample/1673_orig/00048.jpg new file mode 100644 index 0000000000000000000000000000000000000000..ed04561b1e4877e7a8e92cb41b3e98bd14090de9 Binary files /dev/null and b/sample/1673_orig/00048.jpg differ diff --git a/sample/1673_orig/00049.jpg b/sample/1673_orig/00049.jpg new file mode 100644 index 0000000000000000000000000000000000000000..06ff97c0e4f27e92d90223d03c3cb8b254c9de3b Binary files /dev/null and b/sample/1673_orig/00049.jpg differ diff --git a/sample/2145_orig.json b/sample/2145_orig.json new file mode 100644 index 0000000000000000000000000000000000000000..ea3078effdfa976ce5518802449aa4ae387a103f --- /dev/null +++ b/sample/2145_orig.json @@ -0,0 +1,323 @@ +{ + "bbox": { + "sample/2145_orig/00001.jpg": [ + 9, + 162, + 58, + 171 + ], + "sample/2145_orig/00002.jpg": [ + 8, + 161, + 57, + 172 + ], + "sample/2145_orig/00003.jpg": [ + 8, + 161, + 56, + 173 + ], + "sample/2145_orig/00004.jpg": [ + 7, + 162, + 54, + 174 + ], + "sample/2145_orig/00005.jpg": [ + 6, + 163, + 52, + 174 + ], + "sample/2145_orig/00006.jpg": [ + 6, + 164, + 50, + 173 + ], + "sample/2145_orig/00007.jpg": [ + 5, + 163, + 50, + 174 + ], + "sample/2145_orig/00008.jpg": [ + 5, + 161, + 49, + 173 + ], + "sample/2145_orig/00009.jpg": [ + 5, + 160, + 49, + 173 + ], + "sample/2145_orig/00010.jpg": [ + 5, + 159, + 48, + 172 + ], + "sample/2145_orig/00011.jpg": [ + 5, + 160, + 49, + 172 + ], + "sample/2145_orig/00012.jpg": [ + 6, + 161, + 50, + 170 + ], + "sample/2145_orig/00013.jpg": [ + 5, + 162, + 51, + 169 + ], + "sample/2145_orig/00014.jpg": [ + 5, + 165, + 53, + 169 + ], + "sample/2145_orig/00015.jpg": [ + 5, + 165, + 53, + 168 + ], + "sample/2145_orig/00016.jpg": [ + 4, + 165, + 53, + 166 + ], + "sample/2145_orig/00017.jpg": [ + 4, + 165, + 53, + 165 + ], + "sample/2145_orig/00018.jpg": [ + 4, + 165, + 53, + 164 + ], + "sample/2145_orig/00019.jpg": [ + 3, + 163, + 52, + 162 + ], + "sample/2145_orig/00020.jpg": [ + 3, + 162, + 52, + 162 + ], + "sample/2145_orig/00021.jpg": [ + 3, + 162, + 53, + 163 + ], + "sample/2145_orig/00022.jpg": [ + 3, + 161, + 53, + 163 + ], + "sample/2145_orig/00023.jpg": [ + 3, + 160, + 52, + 162 + ], + "sample/2145_orig/00024.jpg": [ + 3, + 160, + 51, + 162 + ], + "sample/2145_orig/00025.jpg": [ + 4, + 160, + 50, + 161 + ], + "sample/2145_orig/00026.jpg": [ + 5, + 160, + 50, + 159 + ], + "sample/2145_orig/00027.jpg": [ + 6, + 160, + 49, + 159 + ], + "sample/2145_orig/00028.jpg": [ + 8, + 161, + 49, + 160 + ], + "sample/2145_orig/00029.jpg": [ + 8, + 160, + 49, + 161 + ], + "sample/2145_orig/00030.jpg": [ + 9, + 160, + 49, + 162 + ], + "sample/2145_orig/00031.jpg": [ + 9, + 160, + 49, + 162 + ], + "sample/2145_orig/00032.jpg": [ + 8, + 160, + 50, + 163 + ], + "sample/2145_orig/00033.jpg": [ + 7, + 160, + 49, + 162 + ], + "sample/2145_orig/00034.jpg": [ + 7, + 160, + 49, + 160 + ], + "sample/2145_orig/00035.jpg": [ + 6, + 159, + 49, + 159 + ], + "sample/2145_orig/00036.jpg": [ + 5, + 160, + 49, + 159 + ], + "sample/2145_orig/00037.jpg": [ + 6, + 161, + 49, + 159 + ], + "sample/2145_orig/00038.jpg": [ + 6, + 162, + 49, + 159 + ], + "sample/2145_orig/00039.jpg": [ + 6, + 163, + 50, + 161 + ], + "sample/2145_orig/00040.jpg": [ + 8, + 165, + 50, + 161 + ], + "sample/2145_orig/00041.jpg": [ + 9, + 164, + 50, + 162 + ], + "sample/2145_orig/00042.jpg": [ + 9, + 163, + 50, + 163 + ], + "sample/2145_orig/00043.jpg": [ + 10, + 163, + 51, + 165 + ], + "sample/2145_orig/00044.jpg": [ + 12, + 163, + 51, + 166 + ], + "sample/2145_orig/00045.jpg": [ + 12, + 163, + 51, + 167 + ], + "sample/2145_orig/00046.jpg": [ + 11, + 164, + 52, + 168 + ], + "sample/2145_orig/00047.jpg": [ + 11, + 164, + 52, + 170 + ], + "sample/2145_orig/00048.jpg": [ + 11, + 164, + 53, + 172 + ], + "sample/2145_orig/00049.jpg": [ + 11, + 163, + 54, + 173 + ], + "sample/2145_orig/00050.jpg": [ + 11, + 163, + 55, + 174 + ], + "sample/2145_orig/00051.jpg": [ + 11, + 163, + 55, + 174 + ], + "sample/2145_orig/00052.jpg": [ + 11, + 163, + 55, + 174 + ], + "sample/2145_orig/00053.jpg": [ + 11, + 163, + 55, + 174 + ] + }, + "format": "(y1, y2, x1, x2)" +} \ No newline at end of file diff --git a/sample/2145_orig.mp4 b/sample/2145_orig.mp4 new file mode 100644 index 0000000000000000000000000000000000000000..12eab35dfdf54405bdd2a6a2d4d2d1cd801b35a6 Binary files /dev/null and b/sample/2145_orig.mp4 differ diff --git a/sample/2145_orig.wav b/sample/2145_orig.wav new file mode 100644 index 0000000000000000000000000000000000000000..0c04464b4243cc32921b9cd4d974e3e6aced9b2f Binary files /dev/null and b/sample/2145_orig.wav differ diff --git a/sample/2145_orig/00001.jpg b/sample/2145_orig/00001.jpg new file mode 100644 index 0000000000000000000000000000000000000000..6d3c964a16aef2bfcf49a68347c2820f3a7aca7b Binary files /dev/null and b/sample/2145_orig/00001.jpg differ diff --git a/sample/2145_orig/00002.jpg b/sample/2145_orig/00002.jpg new file mode 100644 index 0000000000000000000000000000000000000000..3adecd70fde0e259ff77ee08e932f932be4a6683 Binary files /dev/null and b/sample/2145_orig/00002.jpg differ diff --git a/sample/2145_orig/00003.jpg b/sample/2145_orig/00003.jpg new file mode 100644 index 0000000000000000000000000000000000000000..02ecd4899e45d9bdb9f5baa97ff48f7a23acdf12 Binary files /dev/null and b/sample/2145_orig/00003.jpg differ diff --git a/sample/2145_orig/00004.jpg b/sample/2145_orig/00004.jpg new file mode 100644 index 0000000000000000000000000000000000000000..2e1a0b8cb18d8ebc99a9740abb6dfb8dcd6b50b1 Binary files /dev/null and b/sample/2145_orig/00004.jpg differ diff --git a/sample/2145_orig/00005.jpg b/sample/2145_orig/00005.jpg new file mode 100644 index 0000000000000000000000000000000000000000..aab6f38db428699a53db30b5dde38802f07cc648 Binary files /dev/null and b/sample/2145_orig/00005.jpg differ diff --git a/sample/2145_orig/00006.jpg b/sample/2145_orig/00006.jpg new file mode 100644 index 0000000000000000000000000000000000000000..a7beb07fe72ee2147cb4f06f229627721137bb9c Binary files /dev/null and b/sample/2145_orig/00006.jpg differ diff --git a/sample/2145_orig/00007.jpg b/sample/2145_orig/00007.jpg new file mode 100644 index 0000000000000000000000000000000000000000..a2b3f69e881abe3608aec9c5a8698bff2b236d1e Binary files /dev/null and b/sample/2145_orig/00007.jpg differ diff --git a/sample/2145_orig/00008.jpg b/sample/2145_orig/00008.jpg new file mode 100644 index 0000000000000000000000000000000000000000..ecf6f1c55ee10f802bf6c3d666dcfaf69f26da83 Binary files /dev/null and b/sample/2145_orig/00008.jpg differ diff --git a/sample/2145_orig/00009.jpg b/sample/2145_orig/00009.jpg new file mode 100644 index 0000000000000000000000000000000000000000..be4844a72910e37fae4cf12ee30ff68e3d693438 Binary files /dev/null and b/sample/2145_orig/00009.jpg differ diff --git a/sample/2145_orig/00010.jpg b/sample/2145_orig/00010.jpg new file mode 100644 index 0000000000000000000000000000000000000000..ea2a48b4a492348cc78e7a18e7317839d4c209cb Binary files /dev/null and b/sample/2145_orig/00010.jpg differ diff --git a/sample/2145_orig/00011.jpg b/sample/2145_orig/00011.jpg new file mode 100644 index 0000000000000000000000000000000000000000..ada3d278ff1ea622457202bcc0bd69ee027b32c0 Binary files /dev/null and b/sample/2145_orig/00011.jpg differ diff --git a/sample/2145_orig/00012.jpg b/sample/2145_orig/00012.jpg new file mode 100644 index 0000000000000000000000000000000000000000..a136ee72a6aa693d1ae9f3784e153293391dc56e Binary files /dev/null and b/sample/2145_orig/00012.jpg differ diff --git a/sample/2145_orig/00013.jpg b/sample/2145_orig/00013.jpg new file mode 100644 index 0000000000000000000000000000000000000000..44dede7f07bda788d6c85ddc1e9723875e640c00 Binary files /dev/null and b/sample/2145_orig/00013.jpg differ diff --git a/sample/2145_orig/00014.jpg b/sample/2145_orig/00014.jpg new file mode 100644 index 0000000000000000000000000000000000000000..2130813d0565de246fd10cc864120a9ef2806852 Binary files /dev/null and b/sample/2145_orig/00014.jpg differ diff --git a/sample/2145_orig/00015.jpg b/sample/2145_orig/00015.jpg new file mode 100644 index 0000000000000000000000000000000000000000..eec70979aa64e840dbed251c7ef0e1fec0e24eb7 Binary files /dev/null and b/sample/2145_orig/00015.jpg differ diff --git a/sample/2145_orig/00016.jpg b/sample/2145_orig/00016.jpg new file mode 100644 index 0000000000000000000000000000000000000000..570ca79b4ce9039973348d9f5a91ebb5228c2e9b Binary files /dev/null and b/sample/2145_orig/00016.jpg differ diff --git a/sample/2145_orig/00017.jpg b/sample/2145_orig/00017.jpg new file mode 100644 index 0000000000000000000000000000000000000000..c8a88cefd0a23a1cf5d9d25b6e12d63a04292da7 Binary files /dev/null and b/sample/2145_orig/00017.jpg differ diff --git a/sample/2145_orig/00018.jpg b/sample/2145_orig/00018.jpg new file mode 100644 index 0000000000000000000000000000000000000000..abe45a6bdf8e7996aa242059f23ad50bd1bd64a5 Binary files /dev/null and b/sample/2145_orig/00018.jpg differ diff --git a/sample/2145_orig/00019.jpg b/sample/2145_orig/00019.jpg new file mode 100644 index 0000000000000000000000000000000000000000..c006e2e41a9137a40434700e98c5ffa459a9e2cb Binary files /dev/null and b/sample/2145_orig/00019.jpg differ diff --git a/sample/2145_orig/00020.jpg b/sample/2145_orig/00020.jpg new file mode 100644 index 0000000000000000000000000000000000000000..157c72e97a8326a94e8a3f74f376538e3133385d Binary files /dev/null and b/sample/2145_orig/00020.jpg differ diff --git a/sample/2145_orig/00021.jpg b/sample/2145_orig/00021.jpg new file mode 100644 index 0000000000000000000000000000000000000000..853f9d4785f35ec47af6f6c59956d7658eb45083 Binary files /dev/null and b/sample/2145_orig/00021.jpg differ diff --git a/sample/2145_orig/00022.jpg b/sample/2145_orig/00022.jpg new file mode 100644 index 0000000000000000000000000000000000000000..907c6a03599d8ec23dd87fa1ef3937d4d59a1e6a Binary files /dev/null and b/sample/2145_orig/00022.jpg differ diff --git a/sample/2145_orig/00023.jpg b/sample/2145_orig/00023.jpg new file mode 100644 index 0000000000000000000000000000000000000000..54d0f672d38c6dfb38ab7038046550ff706f0f53 Binary files /dev/null and b/sample/2145_orig/00023.jpg differ diff --git a/sample/2145_orig/00024.jpg b/sample/2145_orig/00024.jpg new file mode 100644 index 0000000000000000000000000000000000000000..51b341124db9fa3df91742057a802b011870f656 Binary files /dev/null and b/sample/2145_orig/00024.jpg differ diff --git a/sample/2145_orig/00025.jpg b/sample/2145_orig/00025.jpg new file mode 100644 index 0000000000000000000000000000000000000000..f6e10d4582d6a971d09ee129bf1350bc7b7aad7c Binary files /dev/null and b/sample/2145_orig/00025.jpg differ diff --git a/sample/2145_orig/00026.jpg b/sample/2145_orig/00026.jpg new file mode 100644 index 0000000000000000000000000000000000000000..ff9d7bc35bac3453b4989a6f08fcffe6c22a5883 Binary files /dev/null and b/sample/2145_orig/00026.jpg differ diff --git a/sample/2145_orig/00027.jpg b/sample/2145_orig/00027.jpg new file mode 100644 index 0000000000000000000000000000000000000000..da326ad7113e74491ac1c0c8b42fcf124ba1c1ba Binary files /dev/null and b/sample/2145_orig/00027.jpg differ diff --git a/sample/2145_orig/00028.jpg b/sample/2145_orig/00028.jpg new file mode 100644 index 0000000000000000000000000000000000000000..b3fb34fe5743552a54ceb0396481f5a37715d295 Binary files /dev/null and b/sample/2145_orig/00028.jpg differ diff --git a/sample/2145_orig/00029.jpg b/sample/2145_orig/00029.jpg new file mode 100644 index 0000000000000000000000000000000000000000..27273a884a8223ecb6ed3a3faf4026fe59744ef0 Binary files /dev/null and b/sample/2145_orig/00029.jpg differ diff --git a/sample/2145_orig/00030.jpg b/sample/2145_orig/00030.jpg new file mode 100644 index 0000000000000000000000000000000000000000..c7dbc24ab07a5da643aac64f40cfa1d8f38aa8a3 Binary files /dev/null and b/sample/2145_orig/00030.jpg differ diff --git a/sample/2145_orig/00031.jpg b/sample/2145_orig/00031.jpg new file mode 100644 index 0000000000000000000000000000000000000000..72b9207cef3957d34dd3c69eba6eef93d1a24b06 Binary files /dev/null and b/sample/2145_orig/00031.jpg differ diff --git a/sample/2145_orig/00032.jpg b/sample/2145_orig/00032.jpg new file mode 100644 index 0000000000000000000000000000000000000000..06569964a7d05140583bb32eb675512f6141d51b Binary files /dev/null and b/sample/2145_orig/00032.jpg differ diff --git a/sample/2145_orig/00033.jpg b/sample/2145_orig/00033.jpg new file mode 100644 index 0000000000000000000000000000000000000000..b2d0fade86cd7394d230ace0bd538de63fea6bb7 Binary files /dev/null and b/sample/2145_orig/00033.jpg differ diff --git a/sample/2145_orig/00034.jpg b/sample/2145_orig/00034.jpg new file mode 100644 index 0000000000000000000000000000000000000000..2f505c84f3a3669afaed53ec04e0ca84c677b5f2 Binary files /dev/null and b/sample/2145_orig/00034.jpg differ diff --git a/sample/2145_orig/00035.jpg b/sample/2145_orig/00035.jpg new file mode 100644 index 0000000000000000000000000000000000000000..71c948164da90522a0a5421a38a06adc5835d2d3 Binary files /dev/null and b/sample/2145_orig/00035.jpg differ diff --git a/sample/2145_orig/00036.jpg b/sample/2145_orig/00036.jpg new file mode 100644 index 0000000000000000000000000000000000000000..4dd16e8e73f9746573d7b8de091ccdc4406519bb Binary files /dev/null and b/sample/2145_orig/00036.jpg differ diff --git a/sample/2145_orig/00037.jpg b/sample/2145_orig/00037.jpg new file mode 100644 index 0000000000000000000000000000000000000000..b3c9052e8b155f7661babeefa9ce5a0ac5cea1e7 Binary files /dev/null and b/sample/2145_orig/00037.jpg differ diff --git a/sample/2145_orig/00038.jpg b/sample/2145_orig/00038.jpg new file mode 100644 index 0000000000000000000000000000000000000000..e551cdde2ee8ddae206e7762908e5e5cca7950c9 Binary files /dev/null and b/sample/2145_orig/00038.jpg differ diff --git a/sample/2145_orig/00039.jpg b/sample/2145_orig/00039.jpg new file mode 100644 index 0000000000000000000000000000000000000000..82c738d9f98dcdfedd3badad977c9aa6cdbef0e2 Binary files /dev/null and b/sample/2145_orig/00039.jpg differ diff --git a/sample/2145_orig/00040.jpg b/sample/2145_orig/00040.jpg new file mode 100644 index 0000000000000000000000000000000000000000..6df1fa621c692c4577c90d5787043f1d2b89aa0f Binary files /dev/null and b/sample/2145_orig/00040.jpg differ diff --git a/sample/2145_orig/00041.jpg b/sample/2145_orig/00041.jpg new file mode 100644 index 0000000000000000000000000000000000000000..a683cbf1519278839c026bc4cd94232a08bfdf4c Binary files /dev/null and b/sample/2145_orig/00041.jpg differ diff --git a/sample/2145_orig/00042.jpg b/sample/2145_orig/00042.jpg new file mode 100644 index 0000000000000000000000000000000000000000..f5ccbd9bf899ca4daabd9cc930e229cfc172d4b6 Binary files /dev/null and b/sample/2145_orig/00042.jpg differ diff --git a/sample/2145_orig/00043.jpg b/sample/2145_orig/00043.jpg new file mode 100644 index 0000000000000000000000000000000000000000..e441e1c6bc4f24426e3c52be1099e90b5fcbc3bb Binary files /dev/null and b/sample/2145_orig/00043.jpg differ diff --git a/sample/2145_orig/00044.jpg b/sample/2145_orig/00044.jpg new file mode 100644 index 0000000000000000000000000000000000000000..05269b39dd9973ba159de9dd6a052c642341e26f Binary files /dev/null and b/sample/2145_orig/00044.jpg differ diff --git a/sample/2145_orig/00045.jpg b/sample/2145_orig/00045.jpg new file mode 100644 index 0000000000000000000000000000000000000000..72427088f4b875101b15616958a86022b9274543 Binary files /dev/null and b/sample/2145_orig/00045.jpg differ diff --git a/sample/2145_orig/00046.jpg b/sample/2145_orig/00046.jpg new file mode 100644 index 0000000000000000000000000000000000000000..5157024c6d755a00050ddf4f651854ba759bdd9e Binary files /dev/null and b/sample/2145_orig/00046.jpg differ diff --git a/sample/2145_orig/00047.jpg b/sample/2145_orig/00047.jpg new file mode 100644 index 0000000000000000000000000000000000000000..e44545e0ecfa7300d0aa77f0fa69b768730f57ee Binary files /dev/null and b/sample/2145_orig/00047.jpg differ diff --git a/sample/2145_orig/00048.jpg b/sample/2145_orig/00048.jpg new file mode 100644 index 0000000000000000000000000000000000000000..5003a7df5fb2c6cd872caecd2ea0012111b890b3 Binary files /dev/null and b/sample/2145_orig/00048.jpg differ diff --git a/sample/2145_orig/00049.jpg b/sample/2145_orig/00049.jpg new file mode 100644 index 0000000000000000000000000000000000000000..dd547fb0482e4926d695d899529305539313a249 Binary files /dev/null and b/sample/2145_orig/00049.jpg differ diff --git a/sample/2145_orig/00050.jpg b/sample/2145_orig/00050.jpg new file mode 100644 index 0000000000000000000000000000000000000000..d55953730fb3226bd841366a594ebb462472edd9 Binary files /dev/null and b/sample/2145_orig/00050.jpg differ diff --git a/sample/2145_orig/00051.jpg b/sample/2145_orig/00051.jpg new file mode 100644 index 0000000000000000000000000000000000000000..981343a412ac946b39201156ee44574357448f3c Binary files /dev/null and b/sample/2145_orig/00051.jpg differ diff --git a/sample/2145_orig/00052.jpg b/sample/2145_orig/00052.jpg new file mode 100644 index 0000000000000000000000000000000000000000..b88e454ff7c2e83f652b490247219b92ddb34817 Binary files /dev/null and b/sample/2145_orig/00052.jpg differ diff --git a/sample/2145_orig/00053.jpg b/sample/2145_orig/00053.jpg new file mode 100644 index 0000000000000000000000000000000000000000..b577abe25f63c218ccf5650e8c0de369beb66054 Binary files /dev/null and b/sample/2145_orig/00053.jpg differ diff --git a/sample/2942_orig.json b/sample/2942_orig.json new file mode 100644 index 0000000000000000000000000000000000000000..0e54c5bb1907d57cf5239f80b313c645739a7227 --- /dev/null +++ b/sample/2942_orig.json @@ -0,0 +1,317 @@ +{ + "bbox": { + "sample/2942_orig/00001.jpg": [ + 5, + 156, + 56, + 174 + ], + "sample/2942_orig/00002.jpg": [ + 7, + 157, + 56, + 175 + ], + "sample/2942_orig/00003.jpg": [ + 7, + 157, + 56, + 175 + ], + "sample/2942_orig/00004.jpg": [ + 6, + 157, + 57, + 175 + ], + "sample/2942_orig/00005.jpg": [ + 6, + 157, + 56, + 175 + ], + "sample/2942_orig/00006.jpg": [ + 5, + 156, + 56, + 175 + ], + "sample/2942_orig/00007.jpg": [ + 4, + 157, + 56, + 174 + ], + "sample/2942_orig/00008.jpg": [ + 4, + 158, + 56, + 174 + ], + "sample/2942_orig/00009.jpg": [ + 4, + 160, + 55, + 175 + ], + "sample/2942_orig/00010.jpg": [ + 5, + 162, + 54, + 174 + ], + "sample/2942_orig/00011.jpg": [ + 5, + 164, + 52, + 174 + ], + "sample/2942_orig/00012.jpg": [ + 4, + 164, + 50, + 175 + ], + "sample/2942_orig/00013.jpg": [ + 4, + 164, + 47, + 176 + ], + "sample/2942_orig/00014.jpg": [ + 4, + 164, + 46, + 176 + ], + "sample/2942_orig/00015.jpg": [ + 3, + 164, + 45, + 178 + ], + "sample/2942_orig/00016.jpg": [ + 3, + 163, + 45, + 179 + ], + "sample/2942_orig/00017.jpg": [ + 3, + 163, + 45, + 181 + ], + "sample/2942_orig/00018.jpg": [ + 3, + 164, + 44, + 182 + ], + "sample/2942_orig/00019.jpg": [ + 3, + 165, + 43, + 183 + ], + "sample/2942_orig/00020.jpg": [ + 2, + 167, + 42, + 183 + ], + "sample/2942_orig/00021.jpg": [ + 2, + 168, + 40, + 183 + ], + "sample/2942_orig/00022.jpg": [ + 2, + 168, + 40, + 183 + ], + "sample/2942_orig/00023.jpg": [ + 2, + 168, + 40, + 183 + ], + "sample/2942_orig/00024.jpg": [ + 2, + 167, + 41, + 183 + ], + "sample/2942_orig/00025.jpg": [ + 1, + 167, + 41, + 184 + ], + "sample/2942_orig/00026.jpg": [ + 1, + 167, + 42, + 186 + ], + "sample/2942_orig/00027.jpg": [ + 1, + 168, + 42, + 187 + ], + "sample/2942_orig/00028.jpg": [ + 1, + 169, + 41, + 187 + ], + "sample/2942_orig/00029.jpg": [ + 1, + 170, + 40, + 188 + ], + "sample/2942_orig/00030.jpg": [ + 1, + 170, + 40, + 187 + ], + "sample/2942_orig/00031.jpg": [ + 1, + 171, + 39, + 186 + ], + "sample/2942_orig/00032.jpg": [ + 1, + 171, + 37, + 185 + ], + "sample/2942_orig/00033.jpg": [ + 1, + 171, + 38, + 184 + ], + "sample/2942_orig/00034.jpg": [ + 0, + 173, + 39, + 185 + ], + "sample/2942_orig/00035.jpg": [ + 1, + 173, + 40, + 185 + ], + "sample/2942_orig/00036.jpg": [ + 1, + 173, + 40, + 185 + ], + "sample/2942_orig/00037.jpg": [ + 1, + 174, + 42, + 186 + ], + "sample/2942_orig/00038.jpg": [ + 1, + 174, + 42, + 187 + ], + "sample/2942_orig/00039.jpg": [ + 2, + 174, + 41, + 187 + ], + "sample/2942_orig/00040.jpg": [ + 2, + 174, + 41, + 187 + ], + "sample/2942_orig/00041.jpg": [ + 2, + 174, + 41, + 187 + ], + "sample/2942_orig/00042.jpg": [ + 2, + 174, + 40, + 187 + ], + "sample/2942_orig/00043.jpg": [ + 2, + 173, + 40, + 186 + ], + "sample/2942_orig/00044.jpg": [ + 2, + 172, + 40, + 186 + ], + "sample/2942_orig/00045.jpg": [ + 2, + 174, + 40, + 187 + ], + "sample/2942_orig/00046.jpg": [ + 2, + 175, + 41, + 188 + ], + "sample/2942_orig/00047.jpg": [ + 2, + 175, + 42, + 190 + ], + "sample/2942_orig/00048.jpg": [ + 1, + 176, + 41, + 190 + ], + "sample/2942_orig/00049.jpg": [ + 1, + 177, + 40, + 191 + ], + "sample/2942_orig/00050.jpg": [ + 1, + 176, + 40, + 191 + ], + "sample/2942_orig/00051.jpg": [ + 1, + 175, + 40, + 191 + ], + "sample/2942_orig/00052.jpg": [ + 1, + 175, + 40, + 190 + ] + }, + "format": "(y1, y2, x1, x2)" +} \ No newline at end of file diff --git a/sample/2942_orig.mp4 b/sample/2942_orig.mp4 new file mode 100644 index 0000000000000000000000000000000000000000..4a0e68578a689ef6c3a81de9519e49ccce0d8747 Binary files /dev/null and b/sample/2942_orig.mp4 differ diff --git a/sample/2942_orig.wav b/sample/2942_orig.wav new file mode 100644 index 0000000000000000000000000000000000000000..499aaf98b13b90954dd6fec00d9661dfe8745174 Binary files /dev/null and b/sample/2942_orig.wav differ diff --git a/sample/2942_orig/00001.jpg b/sample/2942_orig/00001.jpg new file mode 100644 index 0000000000000000000000000000000000000000..634814bcd8933018c194c4994e726658f54bdbf2 Binary files /dev/null and b/sample/2942_orig/00001.jpg differ diff --git a/sample/2942_orig/00002.jpg b/sample/2942_orig/00002.jpg new file mode 100644 index 0000000000000000000000000000000000000000..b47b77570d2b4350083e797310b542fee983b141 Binary files /dev/null and b/sample/2942_orig/00002.jpg differ diff --git a/sample/2942_orig/00003.jpg b/sample/2942_orig/00003.jpg new file mode 100644 index 0000000000000000000000000000000000000000..84a246a875576b7c5154e8bbce1847f5afa9a94a Binary files /dev/null and b/sample/2942_orig/00003.jpg differ diff --git a/sample/2942_orig/00004.jpg b/sample/2942_orig/00004.jpg new file mode 100644 index 0000000000000000000000000000000000000000..1a93322125212c9803c3b2aaa2cc975a9a95ad4b Binary files /dev/null and b/sample/2942_orig/00004.jpg differ diff --git a/sample/2942_orig/00005.jpg b/sample/2942_orig/00005.jpg new file mode 100644 index 0000000000000000000000000000000000000000..9691236ae10e0dbcd6105ed45402a7021a35eddd Binary files /dev/null and b/sample/2942_orig/00005.jpg differ diff --git a/sample/2942_orig/00006.jpg b/sample/2942_orig/00006.jpg new file mode 100644 index 0000000000000000000000000000000000000000..173be679255c406be83acfe9998e59023806c9db Binary files /dev/null and b/sample/2942_orig/00006.jpg differ diff --git a/sample/2942_orig/00007.jpg b/sample/2942_orig/00007.jpg new file mode 100644 index 0000000000000000000000000000000000000000..a61c4f75f6872c821290806ad93e76a4e6730b18 Binary files /dev/null and b/sample/2942_orig/00007.jpg differ diff --git a/sample/2942_orig/00008.jpg b/sample/2942_orig/00008.jpg new file mode 100644 index 0000000000000000000000000000000000000000..5740759afbf6d9083052d7275d47a45cc30e0141 Binary files /dev/null and b/sample/2942_orig/00008.jpg differ diff --git a/sample/2942_orig/00009.jpg b/sample/2942_orig/00009.jpg new file mode 100644 index 0000000000000000000000000000000000000000..56722fde2c853db3903369f5d0e52c40e2fec2ec Binary files /dev/null and b/sample/2942_orig/00009.jpg differ diff --git a/sample/2942_orig/00010.jpg b/sample/2942_orig/00010.jpg new file mode 100644 index 0000000000000000000000000000000000000000..e140337afebb9c860d54b089c3314773ea0a9b49 Binary files /dev/null and b/sample/2942_orig/00010.jpg differ diff --git a/sample/2942_orig/00011.jpg b/sample/2942_orig/00011.jpg new file mode 100644 index 0000000000000000000000000000000000000000..7277fcb2ecb365bb750be64167821edafcb52931 Binary files /dev/null and b/sample/2942_orig/00011.jpg differ diff --git a/sample/2942_orig/00012.jpg b/sample/2942_orig/00012.jpg new file mode 100644 index 0000000000000000000000000000000000000000..fce290e81bc57fa1bdbc3e6c5be8ef9ecdecd834 Binary files /dev/null and b/sample/2942_orig/00012.jpg differ diff --git a/sample/2942_orig/00013.jpg b/sample/2942_orig/00013.jpg new file mode 100644 index 0000000000000000000000000000000000000000..87e878f0dd3945e337afad1b66b3d8cee390be01 Binary files /dev/null and b/sample/2942_orig/00013.jpg differ diff --git a/sample/2942_orig/00014.jpg b/sample/2942_orig/00014.jpg new file mode 100644 index 0000000000000000000000000000000000000000..dcede59f43cce407f04c7e434f56584e96c8bac9 Binary files /dev/null and b/sample/2942_orig/00014.jpg differ diff --git a/sample/2942_orig/00015.jpg b/sample/2942_orig/00015.jpg new file mode 100644 index 0000000000000000000000000000000000000000..42bd7b4e824997721a6effa2b3ee7eed8ca1ca19 Binary files /dev/null and b/sample/2942_orig/00015.jpg differ diff --git a/sample/2942_orig/00016.jpg b/sample/2942_orig/00016.jpg new file mode 100644 index 0000000000000000000000000000000000000000..86b9c3720c0d2985bead983bb4d741b88826e2bc Binary files /dev/null and b/sample/2942_orig/00016.jpg differ diff --git a/sample/2942_orig/00017.jpg b/sample/2942_orig/00017.jpg new file mode 100644 index 0000000000000000000000000000000000000000..a6930b24b0e60f80d7def5bc16cff2b1a0ca8c8f Binary files /dev/null and b/sample/2942_orig/00017.jpg differ diff --git a/sample/2942_orig/00018.jpg b/sample/2942_orig/00018.jpg new file mode 100644 index 0000000000000000000000000000000000000000..7754ef8db6e95c56984925909cb01446bc61f5e1 Binary files /dev/null and b/sample/2942_orig/00018.jpg differ diff --git a/sample/2942_orig/00019.jpg b/sample/2942_orig/00019.jpg new file mode 100644 index 0000000000000000000000000000000000000000..1e127bbc4a49dabe1d2f9468815840c82b1d7cb5 Binary files /dev/null and b/sample/2942_orig/00019.jpg differ diff --git a/sample/2942_orig/00020.jpg b/sample/2942_orig/00020.jpg new file mode 100644 index 0000000000000000000000000000000000000000..e0ae6ed15d86924ad0fd4684118c2e1a815f9b67 Binary files /dev/null and b/sample/2942_orig/00020.jpg differ diff --git a/sample/2942_orig/00021.jpg b/sample/2942_orig/00021.jpg new file mode 100644 index 0000000000000000000000000000000000000000..8f671c679b9c73b135fd4984db3ad217b046a414 Binary files /dev/null and b/sample/2942_orig/00021.jpg differ diff --git a/sample/2942_orig/00022.jpg b/sample/2942_orig/00022.jpg new file mode 100644 index 0000000000000000000000000000000000000000..dd980a92f263bc8d655f9d0de040b089eb12ce40 Binary files /dev/null and b/sample/2942_orig/00022.jpg differ diff --git a/sample/2942_orig/00023.jpg b/sample/2942_orig/00023.jpg new file mode 100644 index 0000000000000000000000000000000000000000..ffc6c82d6641ae7f3830be2d48defa1627edaacc Binary files /dev/null and b/sample/2942_orig/00023.jpg differ diff --git a/sample/2942_orig/00024.jpg b/sample/2942_orig/00024.jpg new file mode 100644 index 0000000000000000000000000000000000000000..6804ccd7a8f320cecd6d28a6c9f471163c3feb76 Binary files /dev/null and b/sample/2942_orig/00024.jpg differ diff --git a/sample/2942_orig/00025.jpg b/sample/2942_orig/00025.jpg new file mode 100644 index 0000000000000000000000000000000000000000..9108d4fdeed4f8561abebd333d3d5357e6369e7e Binary files /dev/null and b/sample/2942_orig/00025.jpg differ diff --git a/sample/2942_orig/00026.jpg b/sample/2942_orig/00026.jpg new file mode 100644 index 0000000000000000000000000000000000000000..cb45158a7b60142777e2624ecc41a65d09aaf9cc Binary files /dev/null and b/sample/2942_orig/00026.jpg differ diff --git a/sample/2942_orig/00027.jpg b/sample/2942_orig/00027.jpg new file mode 100644 index 0000000000000000000000000000000000000000..7ae6ded9ee162ff70184c7485debd0bb7ff01133 Binary files /dev/null and b/sample/2942_orig/00027.jpg differ diff --git a/sample/2942_orig/00028.jpg b/sample/2942_orig/00028.jpg new file mode 100644 index 0000000000000000000000000000000000000000..84dafc3ff208e4278b77526e194e88963aefb3e5 Binary files /dev/null and b/sample/2942_orig/00028.jpg differ diff --git a/sample/2942_orig/00029.jpg b/sample/2942_orig/00029.jpg new file mode 100644 index 0000000000000000000000000000000000000000..d49fdf9606cc4233d129c1470a7209f544c367af Binary files /dev/null and b/sample/2942_orig/00029.jpg differ diff --git a/sample/2942_orig/00030.jpg b/sample/2942_orig/00030.jpg new file mode 100644 index 0000000000000000000000000000000000000000..f532890c7b7492bdd57ff065359270e49b648349 Binary files /dev/null and b/sample/2942_orig/00030.jpg differ diff --git a/sample/2942_orig/00031.jpg b/sample/2942_orig/00031.jpg new file mode 100644 index 0000000000000000000000000000000000000000..0827dc1877b38eec502e44742309740ef28fdc4a Binary files /dev/null and b/sample/2942_orig/00031.jpg differ diff --git a/sample/2942_orig/00032.jpg b/sample/2942_orig/00032.jpg new file mode 100644 index 0000000000000000000000000000000000000000..eba7d6f6f2460f04a2fa9e65262cde468ddf6d54 Binary files /dev/null and b/sample/2942_orig/00032.jpg differ diff --git a/sample/2942_orig/00033.jpg b/sample/2942_orig/00033.jpg new file mode 100644 index 0000000000000000000000000000000000000000..b6a86b8ce2cfec2fa858166bbe46d6b602fdde6e Binary files /dev/null and b/sample/2942_orig/00033.jpg differ diff --git a/sample/2942_orig/00034.jpg b/sample/2942_orig/00034.jpg new file mode 100644 index 0000000000000000000000000000000000000000..bb2f516b847e07af1f82ada93d219bf6d2fa841f Binary files /dev/null and b/sample/2942_orig/00034.jpg differ diff --git a/sample/2942_orig/00035.jpg b/sample/2942_orig/00035.jpg new file mode 100644 index 0000000000000000000000000000000000000000..f23c119b5d6a506369bdbe938d05b35d3cb85ac0 Binary files /dev/null and b/sample/2942_orig/00035.jpg differ diff --git a/sample/2942_orig/00036.jpg b/sample/2942_orig/00036.jpg new file mode 100644 index 0000000000000000000000000000000000000000..fe3349a108f92a2b9ba8084cdca1f68ad2a71808 Binary files /dev/null and b/sample/2942_orig/00036.jpg differ diff --git a/sample/2942_orig/00037.jpg b/sample/2942_orig/00037.jpg new file mode 100644 index 0000000000000000000000000000000000000000..8c7275bd7228783052fa06d85572ec43fdba43a3 Binary files /dev/null and b/sample/2942_orig/00037.jpg differ diff --git a/sample/2942_orig/00038.jpg b/sample/2942_orig/00038.jpg new file mode 100644 index 0000000000000000000000000000000000000000..f3cb512e21bb5e7b727824914466b2aa4d7c38fe Binary files /dev/null and b/sample/2942_orig/00038.jpg differ diff --git a/sample/2942_orig/00039.jpg b/sample/2942_orig/00039.jpg new file mode 100644 index 0000000000000000000000000000000000000000..a7535f13bdba0072b1651862a9c5f9311d124321 Binary files /dev/null and b/sample/2942_orig/00039.jpg differ diff --git a/sample/2942_orig/00040.jpg b/sample/2942_orig/00040.jpg new file mode 100644 index 0000000000000000000000000000000000000000..a9ededa0e341fd4773137cbc85667fb7e22ab5f3 Binary files /dev/null and b/sample/2942_orig/00040.jpg differ diff --git a/sample/2942_orig/00041.jpg b/sample/2942_orig/00041.jpg new file mode 100644 index 0000000000000000000000000000000000000000..637d5a027082c974235edbd2dec186851796c130 Binary files /dev/null and b/sample/2942_orig/00041.jpg differ diff --git a/sample/2942_orig/00042.jpg b/sample/2942_orig/00042.jpg new file mode 100644 index 0000000000000000000000000000000000000000..fef89e04406b1c6f2d01662f5f19837790fbc0b4 Binary files /dev/null and b/sample/2942_orig/00042.jpg differ diff --git a/sample/2942_orig/00043.jpg b/sample/2942_orig/00043.jpg new file mode 100644 index 0000000000000000000000000000000000000000..a7205d8d68e734c6360957eecab6b8a985fa74e4 Binary files /dev/null and b/sample/2942_orig/00043.jpg differ diff --git a/sample/2942_orig/00044.jpg b/sample/2942_orig/00044.jpg new file mode 100644 index 0000000000000000000000000000000000000000..f47a4f8278b8213297ec6ebd079f011a2973ae22 Binary files /dev/null and b/sample/2942_orig/00044.jpg differ diff --git a/sample/2942_orig/00045.jpg b/sample/2942_orig/00045.jpg new file mode 100644 index 0000000000000000000000000000000000000000..e3ad7af8d2b35d9ec0a47d51f59f88a710524cd9 Binary files /dev/null and b/sample/2942_orig/00045.jpg differ diff --git a/sample/2942_orig/00046.jpg b/sample/2942_orig/00046.jpg new file mode 100644 index 0000000000000000000000000000000000000000..3f30ae69628616d19b131fce45ab0837153aed5d Binary files /dev/null and b/sample/2942_orig/00046.jpg differ diff --git a/sample/2942_orig/00047.jpg b/sample/2942_orig/00047.jpg new file mode 100644 index 0000000000000000000000000000000000000000..98be3884c65c2a1317d2932d987f8b973216d5b5 Binary files /dev/null and b/sample/2942_orig/00047.jpg differ diff --git a/sample/2942_orig/00048.jpg b/sample/2942_orig/00048.jpg new file mode 100644 index 0000000000000000000000000000000000000000..9110dd00053a19497cc63a9f2c339bc10e0f5c7c Binary files /dev/null and b/sample/2942_orig/00048.jpg differ diff --git a/sample/2942_orig/00049.jpg b/sample/2942_orig/00049.jpg new file mode 100644 index 0000000000000000000000000000000000000000..59b426628f673f087c43eb75c5ea04b9aa0ce336 Binary files /dev/null and b/sample/2942_orig/00049.jpg differ diff --git a/sample/2942_orig/00050.jpg b/sample/2942_orig/00050.jpg new file mode 100644 index 0000000000000000000000000000000000000000..a165dce2356ca422c4c6335e035c5cd6271fb3c4 Binary files /dev/null and b/sample/2942_orig/00050.jpg differ diff --git a/sample/2942_orig/00051.jpg b/sample/2942_orig/00051.jpg new file mode 100644 index 0000000000000000000000000000000000000000..baf2ee73f6bcc72da57ad4272bea44a8f98c9b18 Binary files /dev/null and b/sample/2942_orig/00051.jpg differ diff --git a/sample/2942_orig/00052.jpg b/sample/2942_orig/00052.jpg new file mode 100644 index 0000000000000000000000000000000000000000..a53b2f07df4bf363aa730d415e22f52817472e46 Binary files /dev/null and b/sample/2942_orig/00052.jpg differ diff --git a/sample/4598_orig.json b/sample/4598_orig.json new file mode 100644 index 0000000000000000000000000000000000000000..1f060e19a40f46349a3bc168f0de2005427f5f9c --- /dev/null +++ b/sample/4598_orig.json @@ -0,0 +1,323 @@ +{ + "bbox": { + "sample/4598_orig/00001.jpg": [ + 6, + 166, + 55, + 160 + ], + "sample/4598_orig/00002.jpg": [ + 7, + 165, + 55, + 160 + ], + "sample/4598_orig/00003.jpg": [ + 6, + 165, + 54, + 159 + ], + "sample/4598_orig/00004.jpg": [ + 7, + 164, + 55, + 159 + ], + "sample/4598_orig/00005.jpg": [ + 6, + 164, + 55, + 160 + ], + "sample/4598_orig/00006.jpg": [ + 7, + 165, + 55, + 161 + ], + "sample/4598_orig/00007.jpg": [ + 6, + 165, + 56, + 162 + ], + "sample/4598_orig/00008.jpg": [ + 6, + 166, + 57, + 163 + ], + "sample/4598_orig/00009.jpg": [ + 6, + 166, + 57, + 164 + ], + "sample/4598_orig/00010.jpg": [ + 7, + 167, + 57, + 164 + ], + "sample/4598_orig/00011.jpg": [ + 7, + 167, + 57, + 163 + ], + "sample/4598_orig/00012.jpg": [ + 8, + 167, + 57, + 162 + ], + "sample/4598_orig/00013.jpg": [ + 8, + 168, + 58, + 162 + ], + "sample/4598_orig/00014.jpg": [ + 8, + 169, + 58, + 161 + ], + "sample/4598_orig/00015.jpg": [ + 8, + 169, + 58, + 161 + ], + "sample/4598_orig/00016.jpg": [ + 8, + 170, + 58, + 161 + ], + "sample/4598_orig/00017.jpg": [ + 9, + 170, + 59, + 161 + ], + "sample/4598_orig/00018.jpg": [ + 9, + 170, + 59, + 161 + ], + "sample/4598_orig/00019.jpg": [ + 10, + 170, + 59, + 161 + ], + "sample/4598_orig/00020.jpg": [ + 11, + 171, + 58, + 160 + ], + "sample/4598_orig/00021.jpg": [ + 11, + 171, + 58, + 160 + ], + "sample/4598_orig/00022.jpg": [ + 11, + 170, + 58, + 160 + ], + "sample/4598_orig/00023.jpg": [ + 11, + 169, + 59, + 160 + ], + "sample/4598_orig/00024.jpg": [ + 10, + 168, + 59, + 160 + ], + "sample/4598_orig/00025.jpg": [ + 9, + 166, + 59, + 161 + ], + "sample/4598_orig/00026.jpg": [ + 8, + 165, + 59, + 162 + ], + "sample/4598_orig/00027.jpg": [ + 7, + 163, + 58, + 163 + ], + "sample/4598_orig/00028.jpg": [ + 6, + 162, + 57, + 163 + ], + "sample/4598_orig/00029.jpg": [ + 6, + 162, + 56, + 164 + ], + "sample/4598_orig/00030.jpg": [ + 5, + 162, + 54, + 164 + ], + "sample/4598_orig/00031.jpg": [ + 5, + 163, + 54, + 163 + ], + "sample/4598_orig/00032.jpg": [ + 6, + 163, + 54, + 162 + ], + "sample/4598_orig/00033.jpg": [ + 5, + 162, + 54, + 162 + ], + "sample/4598_orig/00034.jpg": [ + 5, + 161, + 54, + 162 + ], + "sample/4598_orig/00035.jpg": [ + 5, + 161, + 55, + 163 + ], + "sample/4598_orig/00036.jpg": [ + 5, + 160, + 56, + 164 + ], + "sample/4598_orig/00037.jpg": [ + 5, + 161, + 56, + 164 + ], + "sample/4598_orig/00038.jpg": [ + 5, + 162, + 57, + 164 + ], + "sample/4598_orig/00039.jpg": [ + 5, + 162, + 58, + 164 + ], + "sample/4598_orig/00040.jpg": [ + 6, + 162, + 59, + 162 + ], + "sample/4598_orig/00041.jpg": [ + 6, + 162, + 60, + 162 + ], + "sample/4598_orig/00042.jpg": [ + 6, + 162, + 61, + 163 + ], + "sample/4598_orig/00043.jpg": [ + 6, + 161, + 61, + 162 + ], + "sample/4598_orig/00044.jpg": [ + 6, + 160, + 61, + 161 + ], + "sample/4598_orig/00045.jpg": [ + 6, + 161, + 61, + 162 + ], + "sample/4598_orig/00046.jpg": [ + 6, + 160, + 62, + 161 + ], + "sample/4598_orig/00047.jpg": [ + 6, + 160, + 62, + 161 + ], + "sample/4598_orig/00048.jpg": [ + 6, + 160, + 63, + 162 + ], + "sample/4598_orig/00049.jpg": [ + 6, + 160, + 63, + 162 + ], + "sample/4598_orig/00050.jpg": [ + 6, + 160, + 63, + 162 + ], + "sample/4598_orig/00051.jpg": [ + 5, + 159, + 63, + 162 + ], + "sample/4598_orig/00052.jpg": [ + 5, + 159, + 62, + 162 + ], + "sample/4598_orig/00053.jpg": [ + 5, + 159, + 62, + 161 + ] + }, + "format": "(y1, y2, x1, x2)" +} \ No newline at end of file diff --git a/sample/4598_orig.mp4 b/sample/4598_orig.mp4 new file mode 100644 index 0000000000000000000000000000000000000000..f6d5d76b0cb1bea3b3257f1a7495813dd86d8d5a Binary files /dev/null and b/sample/4598_orig.mp4 differ diff --git a/sample/4598_orig.wav b/sample/4598_orig.wav new file mode 100644 index 0000000000000000000000000000000000000000..170d396dfab6f0b8d05da094fa7da09775bfc110 Binary files /dev/null and b/sample/4598_orig.wav differ diff --git a/sample/4598_orig/00001.jpg b/sample/4598_orig/00001.jpg new file mode 100644 index 0000000000000000000000000000000000000000..8703d6277fb61092cd0c57d768d6a9135267c3ee Binary files /dev/null and b/sample/4598_orig/00001.jpg differ diff --git a/sample/4598_orig/00002.jpg b/sample/4598_orig/00002.jpg new file mode 100644 index 0000000000000000000000000000000000000000..8a28dd8ef6d13ff8aaa23456045b4b4ae5b7a9f3 Binary files /dev/null and b/sample/4598_orig/00002.jpg differ diff --git a/sample/4598_orig/00003.jpg b/sample/4598_orig/00003.jpg new file mode 100644 index 0000000000000000000000000000000000000000..6091123b17765b4a83014e59e01be9ede25a4d94 Binary files /dev/null and b/sample/4598_orig/00003.jpg differ diff --git a/sample/4598_orig/00004.jpg b/sample/4598_orig/00004.jpg new file mode 100644 index 0000000000000000000000000000000000000000..c43ee7a332c81e484ff08c76d69081b651112c83 Binary files /dev/null and b/sample/4598_orig/00004.jpg differ diff --git a/sample/4598_orig/00005.jpg b/sample/4598_orig/00005.jpg new file mode 100644 index 0000000000000000000000000000000000000000..539ef250897d1507b6c1134af96855efb48f3902 Binary files /dev/null and b/sample/4598_orig/00005.jpg differ diff --git a/sample/4598_orig/00006.jpg b/sample/4598_orig/00006.jpg new file mode 100644 index 0000000000000000000000000000000000000000..852ec988a6abef99f318611289eccff923a438f6 Binary files /dev/null and b/sample/4598_orig/00006.jpg differ diff --git a/sample/4598_orig/00007.jpg b/sample/4598_orig/00007.jpg new file mode 100644 index 0000000000000000000000000000000000000000..fc22a8080fa33aa0d7a29e3369f981606000ddec Binary files /dev/null and b/sample/4598_orig/00007.jpg differ diff --git a/sample/4598_orig/00008.jpg b/sample/4598_orig/00008.jpg new file mode 100644 index 0000000000000000000000000000000000000000..8a0da3c0aa9b7ff3de26fdabb8b6c01cd7f98d8e Binary files /dev/null and b/sample/4598_orig/00008.jpg differ diff --git a/sample/4598_orig/00009.jpg b/sample/4598_orig/00009.jpg new file mode 100644 index 0000000000000000000000000000000000000000..aa94c21fb56de9e8e880e70bd07880b271f2c3c4 Binary files /dev/null and b/sample/4598_orig/00009.jpg differ diff --git a/sample/4598_orig/00010.jpg b/sample/4598_orig/00010.jpg new file mode 100644 index 0000000000000000000000000000000000000000..67e1ebb57103af7ade8b69641426bcfe32fad82f Binary files /dev/null and b/sample/4598_orig/00010.jpg differ diff --git a/sample/4598_orig/00011.jpg b/sample/4598_orig/00011.jpg new file mode 100644 index 0000000000000000000000000000000000000000..4b1ab7aad4a12c43ce6cf3826bd6d2f96b1eca4b Binary files /dev/null and b/sample/4598_orig/00011.jpg differ diff --git a/sample/4598_orig/00012.jpg b/sample/4598_orig/00012.jpg new file mode 100644 index 0000000000000000000000000000000000000000..0d913565d74427290efd87d0a745b79ab1a27205 Binary files /dev/null and b/sample/4598_orig/00012.jpg differ diff --git a/sample/4598_orig/00013.jpg b/sample/4598_orig/00013.jpg new file mode 100644 index 0000000000000000000000000000000000000000..39f380373bc666b65171fa8a059638515dcec617 Binary files /dev/null and b/sample/4598_orig/00013.jpg differ diff --git a/sample/4598_orig/00014.jpg b/sample/4598_orig/00014.jpg new file mode 100644 index 0000000000000000000000000000000000000000..880dacd83e9a2d18c13f360f231663c120316419 Binary files /dev/null and b/sample/4598_orig/00014.jpg differ diff --git a/sample/4598_orig/00015.jpg b/sample/4598_orig/00015.jpg new file mode 100644 index 0000000000000000000000000000000000000000..1d248e19b5f5481341b7c4b9e27ea81fb3c8f927 Binary files /dev/null and b/sample/4598_orig/00015.jpg differ diff --git a/sample/4598_orig/00016.jpg b/sample/4598_orig/00016.jpg new file mode 100644 index 0000000000000000000000000000000000000000..ccb124defc6ff666271658269ecba52a91dea17c Binary files /dev/null and b/sample/4598_orig/00016.jpg differ diff --git a/sample/4598_orig/00017.jpg b/sample/4598_orig/00017.jpg new file mode 100644 index 0000000000000000000000000000000000000000..349023eca7b8257edd44ef05a590fd0239eaf267 Binary files /dev/null and b/sample/4598_orig/00017.jpg differ diff --git a/sample/4598_orig/00018.jpg b/sample/4598_orig/00018.jpg new file mode 100644 index 0000000000000000000000000000000000000000..24955c0ff14cce5c04b34176772c4f351d916311 Binary files /dev/null and b/sample/4598_orig/00018.jpg differ diff --git a/sample/4598_orig/00019.jpg b/sample/4598_orig/00019.jpg new file mode 100644 index 0000000000000000000000000000000000000000..de220a3ecd0fd1910d7e2c2f9eda68865e5863ae Binary files /dev/null and b/sample/4598_orig/00019.jpg differ diff --git a/sample/4598_orig/00020.jpg b/sample/4598_orig/00020.jpg new file mode 100644 index 0000000000000000000000000000000000000000..4a3e3f551326dc34daeb810d93c94a72859f8e8c Binary files /dev/null and b/sample/4598_orig/00020.jpg differ diff --git a/sample/4598_orig/00021.jpg b/sample/4598_orig/00021.jpg new file mode 100644 index 0000000000000000000000000000000000000000..1d16add4b023465e9c12732ba208c149c7d2ba16 Binary files /dev/null and b/sample/4598_orig/00021.jpg differ diff --git a/sample/4598_orig/00022.jpg b/sample/4598_orig/00022.jpg new file mode 100644 index 0000000000000000000000000000000000000000..548057a4c3bdb8cf8e4051433ff7c7af7c350b5f Binary files /dev/null and b/sample/4598_orig/00022.jpg differ diff --git a/sample/4598_orig/00023.jpg b/sample/4598_orig/00023.jpg new file mode 100644 index 0000000000000000000000000000000000000000..6528e24dbdd4464aec98e7ffd4f729d08bb9524c Binary files /dev/null and b/sample/4598_orig/00023.jpg differ diff --git a/sample/4598_orig/00024.jpg b/sample/4598_orig/00024.jpg new file mode 100644 index 0000000000000000000000000000000000000000..4d04f372e1e4b1632976253bbe827597e83f481a Binary files /dev/null and b/sample/4598_orig/00024.jpg differ diff --git a/sample/4598_orig/00025.jpg b/sample/4598_orig/00025.jpg new file mode 100644 index 0000000000000000000000000000000000000000..9b2cb092af025a0ea39af63d28d9dacd09dfd27f Binary files /dev/null and b/sample/4598_orig/00025.jpg differ diff --git a/sample/4598_orig/00026.jpg b/sample/4598_orig/00026.jpg new file mode 100644 index 0000000000000000000000000000000000000000..60f2c3a9c1ffcb3dc0a991554edcd5ef536102ab Binary files /dev/null and b/sample/4598_orig/00026.jpg differ diff --git a/sample/4598_orig/00027.jpg b/sample/4598_orig/00027.jpg new file mode 100644 index 0000000000000000000000000000000000000000..b931b69c3c92d54f7e0782ed71b314e4f89c5a2c Binary files /dev/null and b/sample/4598_orig/00027.jpg differ diff --git a/sample/4598_orig/00028.jpg b/sample/4598_orig/00028.jpg new file mode 100644 index 0000000000000000000000000000000000000000..f73349e900c8ded01cc530db19a819045097b36a Binary files /dev/null and b/sample/4598_orig/00028.jpg differ diff --git a/sample/4598_orig/00029.jpg b/sample/4598_orig/00029.jpg new file mode 100644 index 0000000000000000000000000000000000000000..80d1db8f56d06cec6ef4b03c93535db87a07ff19 Binary files /dev/null and b/sample/4598_orig/00029.jpg differ diff --git a/sample/4598_orig/00030.jpg b/sample/4598_orig/00030.jpg new file mode 100644 index 0000000000000000000000000000000000000000..ab26825a11a1271ca58492137d0b6e50623642e3 Binary files /dev/null and b/sample/4598_orig/00030.jpg differ diff --git a/sample/4598_orig/00031.jpg b/sample/4598_orig/00031.jpg new file mode 100644 index 0000000000000000000000000000000000000000..698fc4956b25936cd63573f63d02d34e35efd56d Binary files /dev/null and b/sample/4598_orig/00031.jpg differ diff --git a/sample/4598_orig/00032.jpg b/sample/4598_orig/00032.jpg new file mode 100644 index 0000000000000000000000000000000000000000..dde9760bb9ac5e4e40050c31a9e27b78f9318e6e Binary files /dev/null and b/sample/4598_orig/00032.jpg differ diff --git a/sample/4598_orig/00033.jpg b/sample/4598_orig/00033.jpg new file mode 100644 index 0000000000000000000000000000000000000000..43b5a54235c2251ffcb9340ccb7b1e779bef2438 Binary files /dev/null and b/sample/4598_orig/00033.jpg differ diff --git a/sample/4598_orig/00034.jpg b/sample/4598_orig/00034.jpg new file mode 100644 index 0000000000000000000000000000000000000000..4d1456f33991aa4928675ba840692e4bf9be4f63 Binary files /dev/null and b/sample/4598_orig/00034.jpg differ diff --git a/sample/4598_orig/00035.jpg b/sample/4598_orig/00035.jpg new file mode 100644 index 0000000000000000000000000000000000000000..1801756ecae2b4978a93d370ab9b31d51e58ab73 Binary files /dev/null and b/sample/4598_orig/00035.jpg differ diff --git a/sample/4598_orig/00036.jpg b/sample/4598_orig/00036.jpg new file mode 100644 index 0000000000000000000000000000000000000000..84c2a203770e89a3217a17de6f50a43bf5df6006 Binary files /dev/null and b/sample/4598_orig/00036.jpg differ diff --git a/sample/4598_orig/00037.jpg b/sample/4598_orig/00037.jpg new file mode 100644 index 0000000000000000000000000000000000000000..c04f37855b793bdba4c19bcbdb19e4d5fc6b7156 Binary files /dev/null and b/sample/4598_orig/00037.jpg differ diff --git a/sample/4598_orig/00038.jpg b/sample/4598_orig/00038.jpg new file mode 100644 index 0000000000000000000000000000000000000000..24220bb2f0579928ab6a0a8e822bca701b0c9acc Binary files /dev/null and b/sample/4598_orig/00038.jpg differ diff --git a/sample/4598_orig/00039.jpg b/sample/4598_orig/00039.jpg new file mode 100644 index 0000000000000000000000000000000000000000..4ad388a75a1678acd361b7e16e5f7ec040a9e54a Binary files /dev/null and b/sample/4598_orig/00039.jpg differ diff --git a/sample/4598_orig/00040.jpg b/sample/4598_orig/00040.jpg new file mode 100644 index 0000000000000000000000000000000000000000..0bdcc5c4fd5d016be4f42f78b85f1355bab120e9 Binary files /dev/null and b/sample/4598_orig/00040.jpg differ diff --git a/sample/4598_orig/00041.jpg b/sample/4598_orig/00041.jpg new file mode 100644 index 0000000000000000000000000000000000000000..650d4783936bea517c758592dd29fcc1a07ec462 Binary files /dev/null and b/sample/4598_orig/00041.jpg differ diff --git a/sample/4598_orig/00042.jpg b/sample/4598_orig/00042.jpg new file mode 100644 index 0000000000000000000000000000000000000000..580181ba043263ab9f3b9abf7fe29f3abda596c4 Binary files /dev/null and b/sample/4598_orig/00042.jpg differ diff --git a/sample/4598_orig/00043.jpg b/sample/4598_orig/00043.jpg new file mode 100644 index 0000000000000000000000000000000000000000..ad778edf931f29850e834c96908bc5a091668cb4 Binary files /dev/null and b/sample/4598_orig/00043.jpg differ diff --git a/sample/4598_orig/00044.jpg b/sample/4598_orig/00044.jpg new file mode 100644 index 0000000000000000000000000000000000000000..3a093f6b2b6116eb18aaff44148a50474c0dfe2d Binary files /dev/null and b/sample/4598_orig/00044.jpg differ diff --git a/sample/4598_orig/00045.jpg b/sample/4598_orig/00045.jpg new file mode 100644 index 0000000000000000000000000000000000000000..420ff3c6ee540b604603578c16bbaa92a0473632 Binary files /dev/null and b/sample/4598_orig/00045.jpg differ diff --git a/sample/4598_orig/00046.jpg b/sample/4598_orig/00046.jpg new file mode 100644 index 0000000000000000000000000000000000000000..fceb5405f1d4a8c3d06fa2e3726ab7adf23d3271 Binary files /dev/null and b/sample/4598_orig/00046.jpg differ diff --git a/sample/4598_orig/00047.jpg b/sample/4598_orig/00047.jpg new file mode 100644 index 0000000000000000000000000000000000000000..2c84643d37652599f57dd275b59ce6e3232026c4 Binary files /dev/null and b/sample/4598_orig/00047.jpg differ diff --git a/sample/4598_orig/00048.jpg b/sample/4598_orig/00048.jpg new file mode 100644 index 0000000000000000000000000000000000000000..3200cc55b2e8216889c345cc680988553373437c Binary files /dev/null and b/sample/4598_orig/00048.jpg differ diff --git a/sample/4598_orig/00049.jpg b/sample/4598_orig/00049.jpg new file mode 100644 index 0000000000000000000000000000000000000000..ed37e82bd7bbdba3f51917046060ba614caa2205 Binary files /dev/null and b/sample/4598_orig/00049.jpg differ diff --git a/sample/4598_orig/00050.jpg b/sample/4598_orig/00050.jpg new file mode 100644 index 0000000000000000000000000000000000000000..c3771030af38980c8d26fd6c70998e0dcd49dc88 Binary files /dev/null and b/sample/4598_orig/00050.jpg differ diff --git a/sample/4598_orig/00051.jpg b/sample/4598_orig/00051.jpg new file mode 100644 index 0000000000000000000000000000000000000000..de85e82a7fdf7c9bb6cc05a9782599420cef56fb Binary files /dev/null and b/sample/4598_orig/00051.jpg differ diff --git a/sample/4598_orig/00052.jpg b/sample/4598_orig/00052.jpg new file mode 100644 index 0000000000000000000000000000000000000000..b27cd18b6678dcc99cc3ce925b508a1f3f81ca95 Binary files /dev/null and b/sample/4598_orig/00052.jpg differ diff --git a/sample/4598_orig/00053.jpg b/sample/4598_orig/00053.jpg new file mode 100644 index 0000000000000000000000000000000000000000..f42db66cc456788b2d228bb2fc466bc263df9a16 Binary files /dev/null and b/sample/4598_orig/00053.jpg differ diff --git a/sample/4653_orig.json b/sample/4653_orig.json new file mode 100644 index 0000000000000000000000000000000000000000..ebaea1d16f2b0b357d4059976f9e6e886e5c7e2c --- /dev/null +++ b/sample/4653_orig.json @@ -0,0 +1,887 @@ +{ + "bbox": { + "sample/4653_orig/00001.jpg": [ + 3, + 165, + 51, + 170 + ], + "sample/4653_orig/00002.jpg": [ + 3, + 165, + 51, + 170 + ], + "sample/4653_orig/00003.jpg": [ + 3, + 165, + 51, + 170 + ], + "sample/4653_orig/00004.jpg": [ + 3, + 165, + 51, + 170 + ], + "sample/4653_orig/00005.jpg": [ + 3, + 165, + 51, + 170 + ], + "sample/4653_orig/00006.jpg": [ + 3, + 166, + 51, + 170 + ], + "sample/4653_orig/00007.jpg": [ + 2, + 166, + 51, + 169 + ], + "sample/4653_orig/00008.jpg": [ + 2, + 165, + 52, + 169 + ], + "sample/4653_orig/00009.jpg": [ + 2, + 165, + 52, + 168 + ], + "sample/4653_orig/00010.jpg": [ + 2, + 165, + 53, + 168 + ], + "sample/4653_orig/00011.jpg": [ + 3, + 164, + 54, + 168 + ], + "sample/4653_orig/00012.jpg": [ + 3, + 165, + 54, + 167 + ], + "sample/4653_orig/00013.jpg": [ + 3, + 165, + 54, + 167 + ], + "sample/4653_orig/00014.jpg": [ + 3, + 165, + 54, + 167 + ], + "sample/4653_orig/00015.jpg": [ + 3, + 166, + 54, + 167 + ], + "sample/4653_orig/00016.jpg": [ + 2, + 165, + 54, + 166 + ], + "sample/4653_orig/00017.jpg": [ + 2, + 164, + 54, + 167 + ], + "sample/4653_orig/00018.jpg": [ + 2, + 163, + 54, + 166 + ], + "sample/4653_orig/00019.jpg": [ + 2, + 163, + 54, + 166 + ], + "sample/4653_orig/00020.jpg": [ + 2, + 163, + 53, + 166 + ], + "sample/4653_orig/00021.jpg": [ + 2, + 164, + 53, + 166 + ], + "sample/4653_orig/00022.jpg": [ + 2, + 165, + 53, + 166 + ], + "sample/4653_orig/00023.jpg": [ + 2, + 166, + 52, + 167 + ], + "sample/4653_orig/00024.jpg": [ + 2, + 168, + 52, + 167 + ], + "sample/4653_orig/00025.jpg": [ + 2, + 168, + 52, + 167 + ], + "sample/4653_orig/00026.jpg": [ + 2, + 168, + 53, + 167 + ], + "sample/4653_orig/00027.jpg": [ + 2, + 168, + 53, + 168 + ], + "sample/4653_orig/00028.jpg": [ + 2, + 169, + 54, + 168 + ], + "sample/4653_orig/00029.jpg": [ + 2, + 168, + 54, + 168 + ], + "sample/4653_orig/00030.jpg": [ + 3, + 168, + 53, + 168 + ], + "sample/4653_orig/00031.jpg": [ + 3, + 169, + 51, + 168 + ], + "sample/4653_orig/00032.jpg": [ + 3, + 170, + 50, + 168 + ], + "sample/4653_orig/00033.jpg": [ + 3, + 170, + 50, + 168 + ], + "sample/4653_orig/00034.jpg": [ + 3, + 171, + 50, + 168 + ], + "sample/4653_orig/00035.jpg": [ + 3, + 172, + 51, + 169 + ], + "sample/4653_orig/00036.jpg": [ + 3, + 171, + 52, + 169 + ], + "sample/4653_orig/00037.jpg": [ + 2, + 170, + 52, + 169 + ], + "sample/4653_orig/00038.jpg": [ + 2, + 169, + 52, + 169 + ], + "sample/4653_orig/00039.jpg": [ + 3, + 167, + 51, + 169 + ], + "sample/4653_orig/00040.jpg": [ + 3, + 166, + 51, + 169 + ], + "sample/4653_orig/00041.jpg": [ + 4, + 167, + 51, + 168 + ], + "sample/4653_orig/00042.jpg": [ + 5, + 168, + 51, + 168 + ], + "sample/4653_orig/00043.jpg": [ + 4, + 168, + 52, + 168 + ], + "sample/4653_orig/00044.jpg": [ + 4, + 169, + 53, + 168 + ], + "sample/4653_orig/00045.jpg": [ + 4, + 169, + 52, + 169 + ], + "sample/4653_orig/00046.jpg": [ + 4, + 169, + 52, + 169 + ], + "sample/4653_orig/00047.jpg": [ + 5, + 168, + 51, + 170 + ], + "sample/4653_orig/00048.jpg": [ + 5, + 168, + 50, + 169 + ], + "sample/4653_orig/00049.jpg": [ + 4, + 167, + 51, + 169 + ], + "sample/4653_orig/00050.jpg": [ + 4, + 166, + 52, + 169 + ], + "sample/4653_orig/00051.jpg": [ + 3, + 165, + 53, + 169 + ], + "sample/4653_orig/00052.jpg": [ + 2, + 165, + 53, + 168 + ], + "sample/4653_orig/00053.jpg": [ + 2, + 166, + 53, + 168 + ], + "sample/4653_orig/00054.jpg": [ + 2, + 166, + 53, + 169 + ], + "sample/4653_orig/00055.jpg": [ + 2, + 166, + 53, + 169 + ], + "sample/4653_orig/00056.jpg": [ + 2, + 167, + 52, + 169 + ], + "sample/4653_orig/00057.jpg": [ + 2, + 167, + 52, + 169 + ], + "sample/4653_orig/00058.jpg": [ + 2, + 166, + 52, + 169 + ], + "sample/4653_orig/00059.jpg": [ + 2, + 166, + 52, + 169 + ], + "sample/4653_orig/00060.jpg": [ + 2, + 165, + 52, + 169 + ], + "sample/4653_orig/00061.jpg": [ + 2, + 166, + 53, + 169 + ], + "sample/4653_orig/00062.jpg": [ + 1, + 165, + 53, + 169 + ], + "sample/4653_orig/00063.jpg": [ + 1, + 165, + 54, + 170 + ], + "sample/4653_orig/00064.jpg": [ + 1, + 165, + 54, + 169 + ], + "sample/4653_orig/00065.jpg": [ + 2, + 165, + 54, + 169 + ], + "sample/4653_orig/00066.jpg": [ + 2, + 164, + 54, + 169 + ], + "sample/4653_orig/00067.jpg": [ + 2, + 165, + 54, + 169 + ], + "sample/4653_orig/00068.jpg": [ + 2, + 164, + 54, + 168 + ], + "sample/4653_orig/00069.jpg": [ + 3, + 164, + 53, + 167 + ], + "sample/4653_orig/00070.jpg": [ + 3, + 165, + 53, + 168 + ], + "sample/4653_orig/00071.jpg": [ + 3, + 165, + 53, + 168 + ], + "sample/4653_orig/00072.jpg": [ + 3, + 166, + 52, + 168 + ], + "sample/4653_orig/00073.jpg": [ + 3, + 167, + 52, + 169 + ], + "sample/4653_orig/00074.jpg": [ + 3, + 167, + 52, + 169 + ], + "sample/4653_orig/00075.jpg": [ + 3, + 167, + 52, + 168 + ], + "sample/4653_orig/00076.jpg": [ + 3, + 167, + 52, + 168 + ], + "sample/4653_orig/00077.jpg": [ + 3, + 166, + 51, + 168 + ], + "sample/4653_orig/00078.jpg": [ + 3, + 166, + 52, + 168 + ], + "sample/4653_orig/00079.jpg": [ + 3, + 167, + 52, + 168 + ], + "sample/4653_orig/00080.jpg": [ + 3, + 167, + 52, + 169 + ], + "sample/4653_orig/00081.jpg": [ + 4, + 167, + 53, + 169 + ], + "sample/4653_orig/00082.jpg": [ + 3, + 167, + 54, + 169 + ], + "sample/4653_orig/00083.jpg": [ + 3, + 167, + 54, + 169 + ], + "sample/4653_orig/00084.jpg": [ + 3, + 166, + 53, + 168 + ], + "sample/4653_orig/00085.jpg": [ + 3, + 166, + 52, + 167 + ], + "sample/4653_orig/00086.jpg": [ + 4, + 166, + 52, + 167 + ], + "sample/4653_orig/00087.jpg": [ + 4, + 165, + 51, + 166 + ], + "sample/4653_orig/00088.jpg": [ + 5, + 165, + 50, + 165 + ], + "sample/4653_orig/00089.jpg": [ + 5, + 165, + 51, + 165 + ], + "sample/4653_orig/00090.jpg": [ + 5, + 165, + 51, + 165 + ], + "sample/4653_orig/00091.jpg": [ + 4, + 164, + 53, + 166 + ], + "sample/4653_orig/00092.jpg": [ + 4, + 164, + 55, + 167 + ], + "sample/4653_orig/00093.jpg": [ + 4, + 163, + 57, + 168 + ], + "sample/4653_orig/00094.jpg": [ + 4, + 165, + 59, + 169 + ], + "sample/4653_orig/00095.jpg": [ + 4, + 166, + 59, + 168 + ], + "sample/4653_orig/00096.jpg": [ + 4, + 167, + 58, + 167 + ], + "sample/4653_orig/00097.jpg": [ + 4, + 168, + 57, + 167 + ], + "sample/4653_orig/00098.jpg": [ + 4, + 169, + 55, + 167 + ], + "sample/4653_orig/00099.jpg": [ + 4, + 168, + 54, + 166 + ], + "sample/4653_orig/00100.jpg": [ + 4, + 168, + 52, + 166 + ], + "sample/4653_orig/00101.jpg": [ + 4, + 168, + 51, + 166 + ], + "sample/4653_orig/00102.jpg": [ + 3, + 167, + 50, + 165 + ], + "sample/4653_orig/00103.jpg": [ + 4, + 167, + 48, + 165 + ], + "sample/4653_orig/00104.jpg": [ + 3, + 167, + 48, + 165 + ], + "sample/4653_orig/00105.jpg": [ + 3, + 167, + 49, + 165 + ], + "sample/4653_orig/00106.jpg": [ + 3, + 166, + 50, + 166 + ], + "sample/4653_orig/00107.jpg": [ + 2, + 166, + 52, + 168 + ], + "sample/4653_orig/00108.jpg": [ + 2, + 166, + 54, + 169 + ], + "sample/4653_orig/00109.jpg": [ + 2, + 167, + 55, + 169 + ], + "sample/4653_orig/00110.jpg": [ + 1, + 167, + 56, + 170 + ], + "sample/4653_orig/00111.jpg": [ + 1, + 168, + 56, + 171 + ], + "sample/4653_orig/00112.jpg": [ + 2, + 168, + 56, + 170 + ], + "sample/4653_orig/00113.jpg": [ + 2, + 168, + 55, + 169 + ], + "sample/4653_orig/00114.jpg": [ + 3, + 168, + 54, + 169 + ], + "sample/4653_orig/00115.jpg": [ + 4, + 168, + 53, + 168 + ], + "sample/4653_orig/00116.jpg": [ + 4, + 168, + 53, + 167 + ], + "sample/4653_orig/00117.jpg": [ + 4, + 168, + 52, + 168 + ], + "sample/4653_orig/00118.jpg": [ + 4, + 168, + 53, + 169 + ], + "sample/4653_orig/00119.jpg": [ + 3, + 168, + 54, + 169 + ], + "sample/4653_orig/00120.jpg": [ + 3, + 167, + 54, + 170 + ], + "sample/4653_orig/00121.jpg": [ + 3, + 167, + 56, + 170 + ], + "sample/4653_orig/00122.jpg": [ + 3, + 167, + 57, + 169 + ], + "sample/4653_orig/00123.jpg": [ + 4, + 168, + 59, + 168 + ], + "sample/4653_orig/00124.jpg": [ + 4, + 169, + 59, + 168 + ], + "sample/4653_orig/00125.jpg": [ + 5, + 170, + 60, + 168 + ], + "sample/4653_orig/00126.jpg": [ + 5, + 170, + 59, + 166 + ], + "sample/4653_orig/00127.jpg": [ + 5, + 171, + 58, + 166 + ], + "sample/4653_orig/00128.jpg": [ + 6, + 170, + 57, + 166 + ], + "sample/4653_orig/00129.jpg": [ + 5, + 169, + 56, + 166 + ], + "sample/4653_orig/00130.jpg": [ + 5, + 168, + 56, + 166 + ], + "sample/4653_orig/00131.jpg": [ + 5, + 167, + 56, + 166 + ], + "sample/4653_orig/00132.jpg": [ + 4, + 166, + 57, + 166 + ], + "sample/4653_orig/00133.jpg": [ + 3, + 167, + 57, + 166 + ], + "sample/4653_orig/00134.jpg": [ + 3, + 166, + 59, + 167 + ], + "sample/4653_orig/00135.jpg": [ + 3, + 166, + 60, + 167 + ], + "sample/4653_orig/00136.jpg": [ + 2, + 166, + 61, + 168 + ], + "sample/4653_orig/00137.jpg": [ + 3, + 166, + 60, + 169 + ], + "sample/4653_orig/00138.jpg": [ + 3, + 166, + 59, + 169 + ], + "sample/4653_orig/00139.jpg": [ + 3, + 166, + 57, + 168 + ], + "sample/4653_orig/00140.jpg": [ + 2, + 165, + 56, + 168 + ], + "sample/4653_orig/00141.jpg": [ + 2, + 165, + 55, + 169 + ], + "sample/4653_orig/00142.jpg": [ + 2, + 165, + 55, + 169 + ], + "sample/4653_orig/00143.jpg": [ + 2, + 166, + 54, + 169 + ], + "sample/4653_orig/00144.jpg": [ + 2, + 166, + 54, + 170 + ], + "sample/4653_orig/00145.jpg": [ + 1, + 166, + 54, + 170 + ], + "sample/4653_orig/00146.jpg": [ + 1, + 166, + 54, + 170 + ], + "sample/4653_orig/00147.jpg": [ + 1, + 166, + 54, + 169 + ] + }, + "format": "(y1, y2, x1, x2)" +} \ No newline at end of file diff --git a/sample/4653_orig.mp4 b/sample/4653_orig.mp4 new file mode 100644 index 0000000000000000000000000000000000000000..44e196f31a95fc599db1573944eb98a7d638166c Binary files /dev/null and b/sample/4653_orig.mp4 differ diff --git a/sample/4653_orig.wav b/sample/4653_orig.wav new file mode 100644 index 0000000000000000000000000000000000000000..18bbd02691f0e881a51db8987ed1d75cab3ef5d0 Binary files /dev/null and b/sample/4653_orig.wav differ diff --git a/sample/4653_orig/00001.jpg b/sample/4653_orig/00001.jpg new file mode 100644 index 0000000000000000000000000000000000000000..49c872772b45d04eb6bf8aae88d20912cff0914f Binary files /dev/null and b/sample/4653_orig/00001.jpg differ diff --git a/sample/4653_orig/00002.jpg b/sample/4653_orig/00002.jpg new file mode 100644 index 0000000000000000000000000000000000000000..d454d13506a405e7cd753b8b66ddcbd9353d6da8 Binary files /dev/null and b/sample/4653_orig/00002.jpg differ diff --git a/sample/4653_orig/00003.jpg b/sample/4653_orig/00003.jpg new file mode 100644 index 0000000000000000000000000000000000000000..ea26b03a7dc89fc1dd20e667d55e693b1ba05bbf Binary files /dev/null and b/sample/4653_orig/00003.jpg differ diff --git a/sample/4653_orig/00004.jpg b/sample/4653_orig/00004.jpg new file mode 100644 index 0000000000000000000000000000000000000000..0caf8b308aacd451c12c275a350da8338b5fe5c8 Binary files /dev/null and b/sample/4653_orig/00004.jpg differ diff --git a/sample/4653_orig/00005.jpg b/sample/4653_orig/00005.jpg new file mode 100644 index 0000000000000000000000000000000000000000..97196c84efaf2464ab6c8d8b2f6c202e5b2f5054 Binary files /dev/null and b/sample/4653_orig/00005.jpg differ diff --git a/sample/4653_orig/00006.jpg b/sample/4653_orig/00006.jpg new file mode 100644 index 0000000000000000000000000000000000000000..416c0d1fe5971e387af9095108bf0f686a771de3 Binary files /dev/null and b/sample/4653_orig/00006.jpg differ diff --git a/sample/4653_orig/00007.jpg b/sample/4653_orig/00007.jpg new file mode 100644 index 0000000000000000000000000000000000000000..2061af7fbd112df5063f40784fa21c78812b4a7a Binary files /dev/null and b/sample/4653_orig/00007.jpg differ diff --git a/sample/4653_orig/00008.jpg b/sample/4653_orig/00008.jpg new file mode 100644 index 0000000000000000000000000000000000000000..312819d20d1f60c48d86ce24865db07320514320 Binary files /dev/null and b/sample/4653_orig/00008.jpg differ diff --git a/sample/4653_orig/00009.jpg b/sample/4653_orig/00009.jpg new file mode 100644 index 0000000000000000000000000000000000000000..cd5803b9d89075fdfc5ac41ec851b3a383a687fa Binary files /dev/null and b/sample/4653_orig/00009.jpg differ diff --git a/sample/4653_orig/00010.jpg b/sample/4653_orig/00010.jpg new file mode 100644 index 0000000000000000000000000000000000000000..d8cd9ac74c5e71a08158e4383b6a244021f5da1a Binary files /dev/null and b/sample/4653_orig/00010.jpg differ diff --git a/sample/4653_orig/00011.jpg b/sample/4653_orig/00011.jpg new file mode 100644 index 0000000000000000000000000000000000000000..b593a73d7bf82a73c658aa8d5b898c4141a0a924 Binary files /dev/null and b/sample/4653_orig/00011.jpg differ diff --git a/sample/4653_orig/00012.jpg b/sample/4653_orig/00012.jpg new file mode 100644 index 0000000000000000000000000000000000000000..37ef34d89c46475850568915f6f2c7d57081e9cd Binary files /dev/null and b/sample/4653_orig/00012.jpg differ diff --git a/sample/4653_orig/00013.jpg b/sample/4653_orig/00013.jpg new file mode 100644 index 0000000000000000000000000000000000000000..df5c68189bf67bad993ee167587b9e39e6308523 Binary files /dev/null and b/sample/4653_orig/00013.jpg differ diff --git a/sample/4653_orig/00014.jpg b/sample/4653_orig/00014.jpg new file mode 100644 index 0000000000000000000000000000000000000000..ebc4b56438b9b1a92cc762ab9f916c3fd0cbed41 Binary files /dev/null and b/sample/4653_orig/00014.jpg differ diff --git a/sample/4653_orig/00015.jpg b/sample/4653_orig/00015.jpg new file mode 100644 index 0000000000000000000000000000000000000000..b5b0ff76d83a5f05bc0acffffe7e01ea90d734db Binary files /dev/null and b/sample/4653_orig/00015.jpg differ diff --git a/sample/4653_orig/00016.jpg b/sample/4653_orig/00016.jpg new file mode 100644 index 0000000000000000000000000000000000000000..a145488d4141b07cd6c75984dfa3a7cf14ab21bb Binary files /dev/null and b/sample/4653_orig/00016.jpg differ diff --git a/sample/4653_orig/00017.jpg b/sample/4653_orig/00017.jpg new file mode 100644 index 0000000000000000000000000000000000000000..2ca2c2bc89b165d535a37010e672ea998fc494b4 Binary files /dev/null and b/sample/4653_orig/00017.jpg differ diff --git a/sample/4653_orig/00018.jpg b/sample/4653_orig/00018.jpg new file mode 100644 index 0000000000000000000000000000000000000000..2141a69d0fad5f9318c5969e074cfb56a1bcbef7 Binary files /dev/null and b/sample/4653_orig/00018.jpg differ diff --git a/sample/4653_orig/00019.jpg b/sample/4653_orig/00019.jpg new file mode 100644 index 0000000000000000000000000000000000000000..77fa52b862e17c22c6a86479c98e534c6f56bce3 Binary files /dev/null and b/sample/4653_orig/00019.jpg differ diff --git a/sample/4653_orig/00020.jpg b/sample/4653_orig/00020.jpg new file mode 100644 index 0000000000000000000000000000000000000000..ce2b999ab1e0e6eff32098ee245761d4a4b8d94f Binary files /dev/null and b/sample/4653_orig/00020.jpg differ diff --git a/sample/4653_orig/00021.jpg b/sample/4653_orig/00021.jpg new file mode 100644 index 0000000000000000000000000000000000000000..915761b7d43f88b1b3ddfa802aa3f1661dd27d08 Binary files /dev/null and b/sample/4653_orig/00021.jpg differ diff --git a/sample/4653_orig/00022.jpg b/sample/4653_orig/00022.jpg new file mode 100644 index 0000000000000000000000000000000000000000..9e59aee1114215e8e3d2f504370b95ee78d72716 Binary files /dev/null and b/sample/4653_orig/00022.jpg differ diff --git a/sample/4653_orig/00023.jpg b/sample/4653_orig/00023.jpg new file mode 100644 index 0000000000000000000000000000000000000000..c68ccf2a7a966cb1fe2fdf390bdae2a53b16a992 Binary files /dev/null and b/sample/4653_orig/00023.jpg differ diff --git a/sample/4653_orig/00024.jpg b/sample/4653_orig/00024.jpg new file mode 100644 index 0000000000000000000000000000000000000000..942fb247939d0708c881329268dafdc416fff447 Binary files /dev/null and b/sample/4653_orig/00024.jpg differ diff --git a/sample/4653_orig/00025.jpg b/sample/4653_orig/00025.jpg new file mode 100644 index 0000000000000000000000000000000000000000..1c38da7a6e63b6485ef1648ad2973082c1ba74bc Binary files /dev/null and b/sample/4653_orig/00025.jpg differ diff --git a/sample/4653_orig/00026.jpg b/sample/4653_orig/00026.jpg new file mode 100644 index 0000000000000000000000000000000000000000..f3065edb0ad4a717e4fad2e4c43474aae162afaf Binary files /dev/null and b/sample/4653_orig/00026.jpg differ diff --git a/sample/4653_orig/00027.jpg b/sample/4653_orig/00027.jpg new file mode 100644 index 0000000000000000000000000000000000000000..a26d0695ceb006039a3f5b14f7f988301cc61c41 Binary files /dev/null and b/sample/4653_orig/00027.jpg differ diff --git a/sample/4653_orig/00028.jpg b/sample/4653_orig/00028.jpg new file mode 100644 index 0000000000000000000000000000000000000000..321a6e6ff50d026581c82ba35954faf36c19f580 Binary files /dev/null and b/sample/4653_orig/00028.jpg differ diff --git a/sample/4653_orig/00029.jpg b/sample/4653_orig/00029.jpg new file mode 100644 index 0000000000000000000000000000000000000000..c0daa4dc1b39cd860632d0deeaf2c58a3d719b70 Binary files /dev/null and b/sample/4653_orig/00029.jpg differ diff --git a/sample/4653_orig/00030.jpg b/sample/4653_orig/00030.jpg new file mode 100644 index 0000000000000000000000000000000000000000..ffe295ac4089ade5141ef438ba7b7e35e8eff32f Binary files /dev/null and b/sample/4653_orig/00030.jpg differ diff --git a/sample/4653_orig/00031.jpg b/sample/4653_orig/00031.jpg new file mode 100644 index 0000000000000000000000000000000000000000..187ef02922a9e781324cf5d7b87d3bca67d7db98 Binary files /dev/null and b/sample/4653_orig/00031.jpg differ diff --git a/sample/4653_orig/00032.jpg b/sample/4653_orig/00032.jpg new file mode 100644 index 0000000000000000000000000000000000000000..34a709df4d3d3a0a95d75d8a1ff687a0d0601cef Binary files /dev/null and b/sample/4653_orig/00032.jpg differ diff --git a/sample/4653_orig/00033.jpg b/sample/4653_orig/00033.jpg new file mode 100644 index 0000000000000000000000000000000000000000..559d827c07442b5ad180226a2b5cc06097237f3d Binary files /dev/null and b/sample/4653_orig/00033.jpg differ diff --git a/sample/4653_orig/00034.jpg b/sample/4653_orig/00034.jpg new file mode 100644 index 0000000000000000000000000000000000000000..50edfc29ce3efee2bc0378e87ee98c1e9895b9da Binary files /dev/null and b/sample/4653_orig/00034.jpg differ diff --git a/sample/4653_orig/00035.jpg b/sample/4653_orig/00035.jpg new file mode 100644 index 0000000000000000000000000000000000000000..90e912d6ee0280d9a2d7db156edd685c785d0745 Binary files /dev/null and b/sample/4653_orig/00035.jpg differ diff --git a/sample/4653_orig/00036.jpg b/sample/4653_orig/00036.jpg new file mode 100644 index 0000000000000000000000000000000000000000..73b19a297a56bfe22a1f4a708cde03b4cff38ab9 Binary files /dev/null and b/sample/4653_orig/00036.jpg differ diff --git a/sample/4653_orig/00037.jpg b/sample/4653_orig/00037.jpg new file mode 100644 index 0000000000000000000000000000000000000000..3ce3364888bfa327209c2a1eca6f5574f4afeafd Binary files /dev/null and b/sample/4653_orig/00037.jpg differ diff --git a/sample/4653_orig/00038.jpg b/sample/4653_orig/00038.jpg new file mode 100644 index 0000000000000000000000000000000000000000..49449ac9239d2142d4eb60f7a8c7f5f4ee5ac7d6 Binary files /dev/null and b/sample/4653_orig/00038.jpg differ diff --git a/sample/4653_orig/00039.jpg b/sample/4653_orig/00039.jpg new file mode 100644 index 0000000000000000000000000000000000000000..97a0a8682cfdc2095a73782cb4b684e9947d9aaa Binary files /dev/null and b/sample/4653_orig/00039.jpg differ diff --git a/sample/4653_orig/00040.jpg b/sample/4653_orig/00040.jpg new file mode 100644 index 0000000000000000000000000000000000000000..df65bf85cc7e43e8e9a28b11c152387ef249a825 Binary files /dev/null and b/sample/4653_orig/00040.jpg differ diff --git a/sample/4653_orig/00041.jpg b/sample/4653_orig/00041.jpg new file mode 100644 index 0000000000000000000000000000000000000000..1814e5efb0c10a709ab55ab756c234bc875a5f3c Binary files /dev/null and b/sample/4653_orig/00041.jpg differ diff --git a/sample/4653_orig/00042.jpg b/sample/4653_orig/00042.jpg new file mode 100644 index 0000000000000000000000000000000000000000..fd382b889ad842bd9f86c335c65e226b66d9fb70 Binary files /dev/null and b/sample/4653_orig/00042.jpg differ diff --git a/sample/4653_orig/00043.jpg b/sample/4653_orig/00043.jpg new file mode 100644 index 0000000000000000000000000000000000000000..d15c518c624c5de0123c6ad761d8e35c2d5dc9ef Binary files /dev/null and b/sample/4653_orig/00043.jpg differ diff --git a/sample/4653_orig/00044.jpg b/sample/4653_orig/00044.jpg new file mode 100644 index 0000000000000000000000000000000000000000..57dc6210137da60166c82d11a6da0d0e366d7253 Binary files /dev/null and b/sample/4653_orig/00044.jpg differ diff --git a/sample/4653_orig/00045.jpg b/sample/4653_orig/00045.jpg new file mode 100644 index 0000000000000000000000000000000000000000..0b29943d28b16c447fe098d38c0289c16ac27e19 Binary files /dev/null and b/sample/4653_orig/00045.jpg differ diff --git a/sample/4653_orig/00046.jpg b/sample/4653_orig/00046.jpg new file mode 100644 index 0000000000000000000000000000000000000000..dd1d9d09838ae2b15c1ff98d6a9c2efa68825df4 Binary files /dev/null and b/sample/4653_orig/00046.jpg differ diff --git a/sample/4653_orig/00047.jpg b/sample/4653_orig/00047.jpg new file mode 100644 index 0000000000000000000000000000000000000000..11047459d2cf957cf97eaa9a52fff86c46e65714 Binary files /dev/null and b/sample/4653_orig/00047.jpg differ diff --git a/sample/4653_orig/00048.jpg b/sample/4653_orig/00048.jpg new file mode 100644 index 0000000000000000000000000000000000000000..99a3f9713310c7df17b46b2a453aeb8dc37df94b Binary files /dev/null and b/sample/4653_orig/00048.jpg differ diff --git a/sample/4653_orig/00049.jpg b/sample/4653_orig/00049.jpg new file mode 100644 index 0000000000000000000000000000000000000000..50c5584e5ef9fb76bf0926981103890c5183a904 Binary files /dev/null and b/sample/4653_orig/00049.jpg differ diff --git a/sample/4653_orig/00050.jpg b/sample/4653_orig/00050.jpg new file mode 100644 index 0000000000000000000000000000000000000000..e56371dea786f16c6ec67d53e1c3081701fe5d95 Binary files /dev/null and b/sample/4653_orig/00050.jpg differ diff --git a/sample/4653_orig/00051.jpg b/sample/4653_orig/00051.jpg new file mode 100644 index 0000000000000000000000000000000000000000..ae532fa8b68417a8bf8c3cbef5c2a4321959cce5 Binary files /dev/null and b/sample/4653_orig/00051.jpg differ diff --git a/sample/4653_orig/00052.jpg b/sample/4653_orig/00052.jpg new file mode 100644 index 0000000000000000000000000000000000000000..9db3ec3cc732f8549ea729aca2c4c399a2f2b4b1 Binary files /dev/null and b/sample/4653_orig/00052.jpg differ diff --git a/sample/4653_orig/00053.jpg b/sample/4653_orig/00053.jpg new file mode 100644 index 0000000000000000000000000000000000000000..1e6fcb166c7cfc3950cc9f57e75c7e6ce817f2f8 Binary files /dev/null and b/sample/4653_orig/00053.jpg differ diff --git a/sample/4653_orig/00054.jpg b/sample/4653_orig/00054.jpg new file mode 100644 index 0000000000000000000000000000000000000000..7c27b5d204a1bd3d787354a98e36bdf194785b7b Binary files /dev/null and b/sample/4653_orig/00054.jpg differ diff --git a/sample/4653_orig/00055.jpg b/sample/4653_orig/00055.jpg new file mode 100644 index 0000000000000000000000000000000000000000..286c53dca1da11bcef983a0c668bdaef72f0a171 Binary files /dev/null and b/sample/4653_orig/00055.jpg differ diff --git a/sample/4653_orig/00056.jpg b/sample/4653_orig/00056.jpg new file mode 100644 index 0000000000000000000000000000000000000000..b90a43b30bee026478efebd7535e4fae71485954 Binary files /dev/null and b/sample/4653_orig/00056.jpg differ diff --git a/sample/4653_orig/00057.jpg b/sample/4653_orig/00057.jpg new file mode 100644 index 0000000000000000000000000000000000000000..78f08d63360c15a27c292e9df04a9b2ba427ce15 Binary files /dev/null and b/sample/4653_orig/00057.jpg differ diff --git a/sample/4653_orig/00058.jpg b/sample/4653_orig/00058.jpg new file mode 100644 index 0000000000000000000000000000000000000000..3c3bc989a3c61310679b0bec0b26c9b337012443 Binary files /dev/null and b/sample/4653_orig/00058.jpg differ diff --git a/sample/4653_orig/00059.jpg b/sample/4653_orig/00059.jpg new file mode 100644 index 0000000000000000000000000000000000000000..e8bc7eeb61cc978f3d6380571ce80d3a6e5dac97 Binary files /dev/null and b/sample/4653_orig/00059.jpg differ diff --git a/sample/4653_orig/00060.jpg b/sample/4653_orig/00060.jpg new file mode 100644 index 0000000000000000000000000000000000000000..7b5e620d663f874bf78664375efbc92d4962d2d6 Binary files /dev/null and b/sample/4653_orig/00060.jpg differ diff --git a/sample/4653_orig/00061.jpg b/sample/4653_orig/00061.jpg new file mode 100644 index 0000000000000000000000000000000000000000..e8740bb4d74600ccfa364364dda2861a1d98c273 Binary files /dev/null and b/sample/4653_orig/00061.jpg differ diff --git a/sample/4653_orig/00062.jpg b/sample/4653_orig/00062.jpg new file mode 100644 index 0000000000000000000000000000000000000000..97e7379b2b62985dbd06773d05ec910cfb75f950 Binary files /dev/null and b/sample/4653_orig/00062.jpg differ diff --git a/sample/4653_orig/00063.jpg b/sample/4653_orig/00063.jpg new file mode 100644 index 0000000000000000000000000000000000000000..8f98444c35f5db17fca5cb36ceabbbbfc29abd67 Binary files /dev/null and b/sample/4653_orig/00063.jpg differ diff --git a/sample/4653_orig/00064.jpg b/sample/4653_orig/00064.jpg new file mode 100644 index 0000000000000000000000000000000000000000..6e4f393b1ff127849a5828803b23441a193c64f6 Binary files /dev/null and b/sample/4653_orig/00064.jpg differ diff --git a/sample/4653_orig/00065.jpg b/sample/4653_orig/00065.jpg new file mode 100644 index 0000000000000000000000000000000000000000..8cd4af1073246e25dbb051f5089bab5acac65a4b Binary files /dev/null and b/sample/4653_orig/00065.jpg differ diff --git a/sample/4653_orig/00066.jpg b/sample/4653_orig/00066.jpg new file mode 100644 index 0000000000000000000000000000000000000000..40d99aadcb7ce00f90152cbfb3dd4634a34094d7 Binary files /dev/null and b/sample/4653_orig/00066.jpg differ diff --git a/sample/4653_orig/00067.jpg b/sample/4653_orig/00067.jpg new file mode 100644 index 0000000000000000000000000000000000000000..14de78550b00a2cdd5339396d4ac1c1400381466 Binary files /dev/null and b/sample/4653_orig/00067.jpg differ diff --git a/sample/4653_orig/00068.jpg b/sample/4653_orig/00068.jpg new file mode 100644 index 0000000000000000000000000000000000000000..4d1dba8f450244435e08ae9f165c3184cb9bff61 Binary files /dev/null and b/sample/4653_orig/00068.jpg differ diff --git a/sample/4653_orig/00069.jpg b/sample/4653_orig/00069.jpg new file mode 100644 index 0000000000000000000000000000000000000000..bc86fe1dd83d3b39ef95561cd19c12a04bfd0368 Binary files /dev/null and b/sample/4653_orig/00069.jpg differ diff --git a/sample/4653_orig/00070.jpg b/sample/4653_orig/00070.jpg new file mode 100644 index 0000000000000000000000000000000000000000..7a83942e12b2dbb60ff7551464fee1b161986d55 Binary files /dev/null and b/sample/4653_orig/00070.jpg differ diff --git a/sample/4653_orig/00071.jpg b/sample/4653_orig/00071.jpg new file mode 100644 index 0000000000000000000000000000000000000000..27ac0b88f2930997d69b711bfb2cb774cbc2df07 Binary files /dev/null and b/sample/4653_orig/00071.jpg differ diff --git a/sample/4653_orig/00072.jpg b/sample/4653_orig/00072.jpg new file mode 100644 index 0000000000000000000000000000000000000000..24372b5fe240594e8349c7fe32ac923a99f8c87d Binary files /dev/null and b/sample/4653_orig/00072.jpg differ diff --git a/sample/4653_orig/00073.jpg b/sample/4653_orig/00073.jpg new file mode 100644 index 0000000000000000000000000000000000000000..12b6a1598739099c4c5af8d963b26ed431cb3ca1 Binary files /dev/null and b/sample/4653_orig/00073.jpg differ diff --git a/sample/4653_orig/00074.jpg b/sample/4653_orig/00074.jpg new file mode 100644 index 0000000000000000000000000000000000000000..24883599458ed7d323ba648e00481c0445b07f16 Binary files /dev/null and b/sample/4653_orig/00074.jpg differ diff --git a/sample/4653_orig/00075.jpg b/sample/4653_orig/00075.jpg new file mode 100644 index 0000000000000000000000000000000000000000..0fdbf625bd3ee39467fc26cba13dad537c5ebfb3 Binary files /dev/null and b/sample/4653_orig/00075.jpg differ diff --git a/sample/4653_orig/00076.jpg b/sample/4653_orig/00076.jpg new file mode 100644 index 0000000000000000000000000000000000000000..f3a807228dff721b7ca17769ba8cb689801252ae Binary files /dev/null and b/sample/4653_orig/00076.jpg differ diff --git a/sample/4653_orig/00077.jpg b/sample/4653_orig/00077.jpg new file mode 100644 index 0000000000000000000000000000000000000000..b03ede4016a1cff5b83ccdeecdc931ab2d8cc55a Binary files /dev/null and b/sample/4653_orig/00077.jpg differ diff --git a/sample/4653_orig/00078.jpg b/sample/4653_orig/00078.jpg new file mode 100644 index 0000000000000000000000000000000000000000..5d868149fb0e637eb02f8d46928980ac0225aab6 Binary files /dev/null and b/sample/4653_orig/00078.jpg differ diff --git a/sample/4653_orig/00079.jpg b/sample/4653_orig/00079.jpg new file mode 100644 index 0000000000000000000000000000000000000000..fbfa64238fdf4e9d49829c85217f4e85ddc05302 Binary files /dev/null and b/sample/4653_orig/00079.jpg differ diff --git a/sample/4653_orig/00080.jpg b/sample/4653_orig/00080.jpg new file mode 100644 index 0000000000000000000000000000000000000000..0c70792d8113beceee65c30dc28344004c759e0d Binary files /dev/null and b/sample/4653_orig/00080.jpg differ diff --git a/sample/4653_orig/00081.jpg b/sample/4653_orig/00081.jpg new file mode 100644 index 0000000000000000000000000000000000000000..73c414fb1fb7e69270ecb48381426a8d264cfe52 Binary files /dev/null and b/sample/4653_orig/00081.jpg differ diff --git a/sample/4653_orig/00082.jpg b/sample/4653_orig/00082.jpg new file mode 100644 index 0000000000000000000000000000000000000000..6196be9714087c5b975392d8a1b2d2c8d0e410c7 Binary files /dev/null and b/sample/4653_orig/00082.jpg differ diff --git a/sample/4653_orig/00083.jpg b/sample/4653_orig/00083.jpg new file mode 100644 index 0000000000000000000000000000000000000000..7368bd801651937b950d3187bf8e5c0125e83e40 Binary files /dev/null and b/sample/4653_orig/00083.jpg differ diff --git a/sample/4653_orig/00084.jpg b/sample/4653_orig/00084.jpg new file mode 100644 index 0000000000000000000000000000000000000000..0de6344fe72f83c24b2a07c3f6af32c8d75d439a Binary files /dev/null and b/sample/4653_orig/00084.jpg differ diff --git a/sample/4653_orig/00085.jpg b/sample/4653_orig/00085.jpg new file mode 100644 index 0000000000000000000000000000000000000000..12f3a76e7c6f0e13ef2c36ec88c045392351159d Binary files /dev/null and b/sample/4653_orig/00085.jpg differ diff --git a/sample/4653_orig/00086.jpg b/sample/4653_orig/00086.jpg new file mode 100644 index 0000000000000000000000000000000000000000..e0158462a6355601726cfc1715dfe30bdab8bcbf Binary files /dev/null and b/sample/4653_orig/00086.jpg differ diff --git a/sample/4653_orig/00087.jpg b/sample/4653_orig/00087.jpg new file mode 100644 index 0000000000000000000000000000000000000000..1b511e31c8e8d8ac6ea591194a2f97cf097226a6 Binary files /dev/null and b/sample/4653_orig/00087.jpg differ diff --git a/sample/4653_orig/00088.jpg b/sample/4653_orig/00088.jpg new file mode 100644 index 0000000000000000000000000000000000000000..49de4b38082d0e9b8e358af47a7653279d1976d4 Binary files /dev/null and b/sample/4653_orig/00088.jpg differ diff --git a/sample/4653_orig/00089.jpg b/sample/4653_orig/00089.jpg new file mode 100644 index 0000000000000000000000000000000000000000..64d95f369f03df0f28e6e6d1ef66fc2ec1ab8c15 Binary files /dev/null and b/sample/4653_orig/00089.jpg differ diff --git a/sample/4653_orig/00090.jpg b/sample/4653_orig/00090.jpg new file mode 100644 index 0000000000000000000000000000000000000000..87cb8f51f3275b5124957e3c62816699d0702dfa Binary files /dev/null and b/sample/4653_orig/00090.jpg differ diff --git a/sample/4653_orig/00091.jpg b/sample/4653_orig/00091.jpg new file mode 100644 index 0000000000000000000000000000000000000000..749a9b3d5f3b59cad280e190947553351118f902 Binary files /dev/null and b/sample/4653_orig/00091.jpg differ diff --git a/sample/4653_orig/00092.jpg b/sample/4653_orig/00092.jpg new file mode 100644 index 0000000000000000000000000000000000000000..0353b4a2e652f75576b8d802798ce338221eef58 Binary files /dev/null and b/sample/4653_orig/00092.jpg differ diff --git a/sample/4653_orig/00093.jpg b/sample/4653_orig/00093.jpg new file mode 100644 index 0000000000000000000000000000000000000000..cb770a5f722a57c419e419759e654dd71b6a6e83 Binary files /dev/null and b/sample/4653_orig/00093.jpg differ diff --git a/sample/4653_orig/00094.jpg b/sample/4653_orig/00094.jpg new file mode 100644 index 0000000000000000000000000000000000000000..7b08a56405e701ab60a3395731ff6d0426769cb0 Binary files /dev/null and b/sample/4653_orig/00094.jpg differ diff --git a/sample/4653_orig/00095.jpg b/sample/4653_orig/00095.jpg new file mode 100644 index 0000000000000000000000000000000000000000..3a901105dda3cc8133cba01884ee7a090ea9f2c8 Binary files /dev/null and b/sample/4653_orig/00095.jpg differ diff --git a/sample/4653_orig/00096.jpg b/sample/4653_orig/00096.jpg new file mode 100644 index 0000000000000000000000000000000000000000..f5890cf6311cda1e5b342e9ed7b054be2f512937 Binary files /dev/null and b/sample/4653_orig/00096.jpg differ diff --git a/sample/4653_orig/00097.jpg b/sample/4653_orig/00097.jpg new file mode 100644 index 0000000000000000000000000000000000000000..d404cd6330bb4811e7b3409299cb652e2a453348 Binary files /dev/null and b/sample/4653_orig/00097.jpg differ diff --git a/sample/4653_orig/00098.jpg b/sample/4653_orig/00098.jpg new file mode 100644 index 0000000000000000000000000000000000000000..66f00def2f6918f907aa320519138671a8094868 Binary files /dev/null and b/sample/4653_orig/00098.jpg differ diff --git a/sample/4653_orig/00099.jpg b/sample/4653_orig/00099.jpg new file mode 100644 index 0000000000000000000000000000000000000000..e006d5f0260a3bbfd72296cdaa43a2aec8fe44e8 Binary files /dev/null and b/sample/4653_orig/00099.jpg differ diff --git a/sample/4653_orig/00100.jpg b/sample/4653_orig/00100.jpg new file mode 100644 index 0000000000000000000000000000000000000000..218b452fd43150a54d070c2be34f005a7462f58a Binary files /dev/null and b/sample/4653_orig/00100.jpg differ diff --git a/sample/5592_orig.json b/sample/5592_orig.json new file mode 100644 index 0000000000000000000000000000000000000000..4cf3c7a90f4c01d26be1be9b02c8faa01f915f2b --- /dev/null +++ b/sample/5592_orig.json @@ -0,0 +1,407 @@ +{ + "bbox": { + "sample/5592_orig/00001.jpg": [ + 6, + 158, + 57, + 170 + ], + "sample/5592_orig/00002.jpg": [ + 6, + 159, + 56, + 169 + ], + "sample/5592_orig/00003.jpg": [ + 7, + 160, + 55, + 169 + ], + "sample/5592_orig/00004.jpg": [ + 7, + 162, + 55, + 169 + ], + "sample/5592_orig/00005.jpg": [ + 6, + 162, + 54, + 169 + ], + "sample/5592_orig/00006.jpg": [ + 6, + 163, + 54, + 170 + ], + "sample/5592_orig/00007.jpg": [ + 6, + 164, + 53, + 171 + ], + "sample/5592_orig/00008.jpg": [ + 5, + 164, + 53, + 171 + ], + "sample/5592_orig/00009.jpg": [ + 6, + 164, + 53, + 171 + ], + "sample/5592_orig/00010.jpg": [ + 5, + 164, + 52, + 172 + ], + "sample/5592_orig/00011.jpg": [ + 5, + 164, + 52, + 173 + ], + "sample/5592_orig/00012.jpg": [ + 5, + 164, + 52, + 172 + ], + "sample/5592_orig/00013.jpg": [ + 5, + 163, + 52, + 172 + ], + "sample/5592_orig/00014.jpg": [ + 6, + 163, + 51, + 172 + ], + "sample/5592_orig/00015.jpg": [ + 7, + 162, + 51, + 171 + ], + "sample/5592_orig/00016.jpg": [ + 9, + 162, + 51, + 170 + ], + "sample/5592_orig/00017.jpg": [ + 9, + 161, + 52, + 170 + ], + "sample/5592_orig/00018.jpg": [ + 10, + 162, + 52, + 170 + ], + "sample/5592_orig/00019.jpg": [ + 9, + 162, + 52, + 170 + ], + "sample/5592_orig/00020.jpg": [ + 9, + 162, + 53, + 171 + ], + "sample/5592_orig/00021.jpg": [ + 8, + 162, + 54, + 172 + ], + "sample/5592_orig/00022.jpg": [ + 7, + 161, + 54, + 172 + ], + "sample/5592_orig/00023.jpg": [ + 7, + 159, + 55, + 172 + ], + "sample/5592_orig/00024.jpg": [ + 8, + 157, + 55, + 172 + ], + "sample/5592_orig/00025.jpg": [ + 8, + 156, + 55, + 170 + ], + "sample/5592_orig/00026.jpg": [ + 7, + 154, + 54, + 169 + ], + "sample/5592_orig/00027.jpg": [ + 8, + 153, + 54, + 167 + ], + "sample/5592_orig/00028.jpg": [ + 7, + 154, + 55, + 166 + ], + "sample/5592_orig/00029.jpg": [ + 7, + 154, + 55, + 167 + ], + "sample/5592_orig/00030.jpg": [ + 8, + 155, + 56, + 167 + ], + "sample/5592_orig/00031.jpg": [ + 8, + 156, + 57, + 166 + ], + "sample/5592_orig/00032.jpg": [ + 8, + 157, + 57, + 166 + ], + "sample/5592_orig/00033.jpg": [ + 9, + 157, + 57, + 167 + ], + "sample/5592_orig/00034.jpg": [ + 9, + 156, + 57, + 167 + ], + "sample/5592_orig/00035.jpg": [ + 9, + 155, + 57, + 168 + ], + "sample/5592_orig/00036.jpg": [ + 9, + 155, + 58, + 169 + ], + "sample/5592_orig/00037.jpg": [ + 9, + 155, + 58, + 170 + ], + "sample/5592_orig/00038.jpg": [ + 8, + 156, + 58, + 170 + ], + "sample/5592_orig/00039.jpg": [ + 8, + 157, + 57, + 169 + ], + "sample/5592_orig/00040.jpg": [ + 9, + 158, + 56, + 169 + ], + "sample/5592_orig/00041.jpg": [ + 9, + 159, + 55, + 169 + ], + "sample/5592_orig/00042.jpg": [ + 9, + 159, + 55, + 169 + ], + "sample/5592_orig/00043.jpg": [ + 10, + 160, + 54, + 169 + ], + "sample/5592_orig/00044.jpg": [ + 10, + 160, + 55, + 169 + ], + "sample/5592_orig/00045.jpg": [ + 9, + 160, + 54, + 169 + ], + "sample/5592_orig/00046.jpg": [ + 9, + 159, + 55, + 169 + ], + "sample/5592_orig/00047.jpg": [ + 9, + 157, + 55, + 169 + ], + "sample/5592_orig/00048.jpg": [ + 10, + 156, + 56, + 169 + ], + "sample/5592_orig/00049.jpg": [ + 10, + 154, + 56, + 169 + ], + "sample/5592_orig/00050.jpg": [ + 10, + 155, + 57, + 169 + ], + "sample/5592_orig/00051.jpg": [ + 9, + 156, + 57, + 169 + ], + "sample/5592_orig/00052.jpg": [ + 9, + 157, + 57, + 169 + ], + "sample/5592_orig/00053.jpg": [ + 9, + 159, + 57, + 169 + ], + "sample/5592_orig/00054.jpg": [ + 9, + 159, + 57, + 168 + ], + "sample/5592_orig/00055.jpg": [ + 9, + 158, + 57, + 168 + ], + "sample/5592_orig/00056.jpg": [ + 10, + 157, + 57, + 168 + ], + "sample/5592_orig/00057.jpg": [ + 10, + 156, + 57, + 169 + ], + "sample/5592_orig/00058.jpg": [ + 10, + 157, + 57, + 168 + ], + "sample/5592_orig/00059.jpg": [ + 10, + 158, + 57, + 170 + ], + "sample/5592_orig/00060.jpg": [ + 9, + 158, + 57, + 170 + ], + "sample/5592_orig/00061.jpg": [ + 9, + 159, + 57, + 171 + ], + "sample/5592_orig/00062.jpg": [ + 8, + 159, + 57, + 170 + ], + "sample/5592_orig/00063.jpg": [ + 7, + 158, + 56, + 170 + ], + "sample/5592_orig/00064.jpg": [ + 7, + 158, + 56, + 169 + ], + "sample/5592_orig/00065.jpg": [ + 6, + 158, + 56, + 169 + ], + "sample/5592_orig/00066.jpg": [ + 6, + 157, + 55, + 168 + ], + "sample/5592_orig/00067.jpg": [ + 6, + 157, + 55, + 169 + ] + }, + "format": "(y1, y2, x1, x2)" +} \ No newline at end of file diff --git a/sample/5592_orig.mp4 b/sample/5592_orig.mp4 new file mode 100644 index 0000000000000000000000000000000000000000..a576ea84d2975b344b020a817be26c715c6e3d45 Binary files /dev/null and b/sample/5592_orig.mp4 differ diff --git a/sample/5592_orig.wav b/sample/5592_orig.wav new file mode 100644 index 0000000000000000000000000000000000000000..983b73ee01e7f868a20bf6a14425c034e11786aa Binary files /dev/null and b/sample/5592_orig.wav differ diff --git a/sample/5592_orig/00001.jpg b/sample/5592_orig/00001.jpg new file mode 100644 index 0000000000000000000000000000000000000000..4644783104ed3551d3fa540542a8bc89e3542db7 Binary files /dev/null and b/sample/5592_orig/00001.jpg differ diff --git a/sample/5592_orig/00002.jpg b/sample/5592_orig/00002.jpg new file mode 100644 index 0000000000000000000000000000000000000000..f12252657bbfa03ced3495acc907f9d0d5d843ff Binary files /dev/null and b/sample/5592_orig/00002.jpg differ diff --git a/sample/5592_orig/00003.jpg b/sample/5592_orig/00003.jpg new file mode 100644 index 0000000000000000000000000000000000000000..ecfbb729d6bac9adaa195b89b5bab9f0cb17680b Binary files /dev/null and b/sample/5592_orig/00003.jpg differ diff --git a/sample/5592_orig/00004.jpg b/sample/5592_orig/00004.jpg new file mode 100644 index 0000000000000000000000000000000000000000..18baf5c280ce00cfa852cbba979d456db9b52b0f Binary files /dev/null and b/sample/5592_orig/00004.jpg differ diff --git a/sample/5592_orig/00005.jpg b/sample/5592_orig/00005.jpg new file mode 100644 index 0000000000000000000000000000000000000000..338b63bbc882c60fafc1e0c258ba8c6d32af0252 Binary files /dev/null and b/sample/5592_orig/00005.jpg differ diff --git a/sample/5592_orig/00006.jpg b/sample/5592_orig/00006.jpg new file mode 100644 index 0000000000000000000000000000000000000000..bef5da75a2d1132939599800757ea65bfa714b3e Binary files /dev/null and b/sample/5592_orig/00006.jpg differ diff --git a/sample/5592_orig/00007.jpg b/sample/5592_orig/00007.jpg new file mode 100644 index 0000000000000000000000000000000000000000..d73d0df706d5029ef94dadeed6d5d2725a89f51e Binary files /dev/null and b/sample/5592_orig/00007.jpg differ diff --git a/sample/5592_orig/00008.jpg b/sample/5592_orig/00008.jpg new file mode 100644 index 0000000000000000000000000000000000000000..1acf285673703596d2898e716bceba22ee15d12d Binary files /dev/null and b/sample/5592_orig/00008.jpg differ diff --git a/sample/5592_orig/00009.jpg b/sample/5592_orig/00009.jpg new file mode 100644 index 0000000000000000000000000000000000000000..5ab1d16644386a6b07ee95dfbe19713286aee285 Binary files /dev/null and b/sample/5592_orig/00009.jpg differ diff --git a/sample/5592_orig/00010.jpg b/sample/5592_orig/00010.jpg new file mode 100644 index 0000000000000000000000000000000000000000..cd6bcb5b3464ab3994eb5bde603af53f62edb25b Binary files /dev/null and b/sample/5592_orig/00010.jpg differ diff --git a/sample/5592_orig/00011.jpg b/sample/5592_orig/00011.jpg new file mode 100644 index 0000000000000000000000000000000000000000..0a266090010ff180e04361c1b2205f598b960a91 Binary files /dev/null and b/sample/5592_orig/00011.jpg differ diff --git a/sample/5592_orig/00012.jpg b/sample/5592_orig/00012.jpg new file mode 100644 index 0000000000000000000000000000000000000000..0b8244f3755cb7dcf60c8105750c9542c6eaab0b Binary files /dev/null and b/sample/5592_orig/00012.jpg differ diff --git a/sample/5592_orig/00013.jpg b/sample/5592_orig/00013.jpg new file mode 100644 index 0000000000000000000000000000000000000000..77014ff4b2c3e6b3435c51d71734bde6debbe41d Binary files /dev/null and b/sample/5592_orig/00013.jpg differ diff --git a/sample/5592_orig/00014.jpg b/sample/5592_orig/00014.jpg new file mode 100644 index 0000000000000000000000000000000000000000..966cadffbe7b7a2b1015d251c1ee1d0d464ad99f Binary files /dev/null and b/sample/5592_orig/00014.jpg differ diff --git a/sample/5592_orig/00015.jpg b/sample/5592_orig/00015.jpg new file mode 100644 index 0000000000000000000000000000000000000000..211ee2a72f7ea0d056dcd7f12732e158a9352d04 Binary files /dev/null and b/sample/5592_orig/00015.jpg differ diff --git a/sample/5592_orig/00016.jpg b/sample/5592_orig/00016.jpg new file mode 100644 index 0000000000000000000000000000000000000000..23b1176ba384558665a7a1b9cde859774ec24c77 Binary files /dev/null and b/sample/5592_orig/00016.jpg differ diff --git a/sample/5592_orig/00017.jpg b/sample/5592_orig/00017.jpg new file mode 100644 index 0000000000000000000000000000000000000000..7e5eababec4af2c874075faf8c2b24ab59a57155 Binary files /dev/null and b/sample/5592_orig/00017.jpg differ diff --git a/sample/5592_orig/00018.jpg b/sample/5592_orig/00018.jpg new file mode 100644 index 0000000000000000000000000000000000000000..37536fd268d5d2663f09fb0fb81828bb52dfb692 Binary files /dev/null and b/sample/5592_orig/00018.jpg differ diff --git a/sample/5592_orig/00019.jpg b/sample/5592_orig/00019.jpg new file mode 100644 index 0000000000000000000000000000000000000000..fe9f1836263c7966f08b821731bccbff96989eac Binary files /dev/null and b/sample/5592_orig/00019.jpg differ diff --git a/sample/5592_orig/00020.jpg b/sample/5592_orig/00020.jpg new file mode 100644 index 0000000000000000000000000000000000000000..281b2a19ccb57d72b266b9fabb141f87a4151081 Binary files /dev/null and b/sample/5592_orig/00020.jpg differ diff --git a/sample/5592_orig/00021.jpg b/sample/5592_orig/00021.jpg new file mode 100644 index 0000000000000000000000000000000000000000..f9e2abe3378e220ff23324eac53b377baffbcb58 Binary files /dev/null and b/sample/5592_orig/00021.jpg differ diff --git a/sample/5592_orig/00022.jpg b/sample/5592_orig/00022.jpg new file mode 100644 index 0000000000000000000000000000000000000000..289781e407239309ebce7963553c5acae1d41d08 Binary files /dev/null and b/sample/5592_orig/00022.jpg differ diff --git a/sample/5592_orig/00023.jpg b/sample/5592_orig/00023.jpg new file mode 100644 index 0000000000000000000000000000000000000000..3d697c1106eeee8dfe02627911220ab322bbe119 Binary files /dev/null and b/sample/5592_orig/00023.jpg differ diff --git a/sample/5592_orig/00024.jpg b/sample/5592_orig/00024.jpg new file mode 100644 index 0000000000000000000000000000000000000000..f7259d6ccbdd35d534d01ed6ba2a6d9e08379c60 Binary files /dev/null and b/sample/5592_orig/00024.jpg differ diff --git a/sample/5592_orig/00025.jpg b/sample/5592_orig/00025.jpg new file mode 100644 index 0000000000000000000000000000000000000000..923d29ed505594974dfab8b4ad76037849d30f16 Binary files /dev/null and b/sample/5592_orig/00025.jpg differ diff --git a/sample/5592_orig/00026.jpg b/sample/5592_orig/00026.jpg new file mode 100644 index 0000000000000000000000000000000000000000..998d1af81d26b5a40491a9c2ad66553380c927dc Binary files /dev/null and b/sample/5592_orig/00026.jpg differ diff --git a/sample/5592_orig/00027.jpg b/sample/5592_orig/00027.jpg new file mode 100644 index 0000000000000000000000000000000000000000..b3e8f2fea9fd149c1617be84b17d885401777e93 Binary files /dev/null and b/sample/5592_orig/00027.jpg differ diff --git a/sample/5592_orig/00028.jpg b/sample/5592_orig/00028.jpg new file mode 100644 index 0000000000000000000000000000000000000000..d2d4cb669874667fc11215c3d558929e25be70b4 Binary files /dev/null and b/sample/5592_orig/00028.jpg differ diff --git a/sample/5592_orig/00029.jpg b/sample/5592_orig/00029.jpg new file mode 100644 index 0000000000000000000000000000000000000000..f12946cd15fb1c927cd539046c40e07c368a6e7a Binary files /dev/null and b/sample/5592_orig/00029.jpg differ diff --git a/sample/5592_orig/00030.jpg b/sample/5592_orig/00030.jpg new file mode 100644 index 0000000000000000000000000000000000000000..6c1449bf7e2abbbc4358cf1b70c6d1305a83d1b5 Binary files /dev/null and b/sample/5592_orig/00030.jpg differ diff --git a/sample/5592_orig/00031.jpg b/sample/5592_orig/00031.jpg new file mode 100644 index 0000000000000000000000000000000000000000..ab7ebd89c5050fdcf3938752a4bd2ba4daed2843 Binary files /dev/null and b/sample/5592_orig/00031.jpg differ diff --git a/sample/5592_orig/00032.jpg b/sample/5592_orig/00032.jpg new file mode 100644 index 0000000000000000000000000000000000000000..f359aacf91b2f54c56aaa4691c95167ae311d9f8 Binary files /dev/null and b/sample/5592_orig/00032.jpg differ diff --git a/sample/5592_orig/00033.jpg b/sample/5592_orig/00033.jpg new file mode 100644 index 0000000000000000000000000000000000000000..eb80bf8a13145b6ca112e39a397ce55da612765b Binary files /dev/null and b/sample/5592_orig/00033.jpg differ diff --git a/sample/5592_orig/00034.jpg b/sample/5592_orig/00034.jpg new file mode 100644 index 0000000000000000000000000000000000000000..c10b32571faad2fb000e992044fac21b22923a6e Binary files /dev/null and b/sample/5592_orig/00034.jpg differ diff --git a/sample/5592_orig/00035.jpg b/sample/5592_orig/00035.jpg new file mode 100644 index 0000000000000000000000000000000000000000..f9dc209e93486141be8d6610a9244d9810527f95 Binary files /dev/null and b/sample/5592_orig/00035.jpg differ diff --git a/sample/5592_orig/00036.jpg b/sample/5592_orig/00036.jpg new file mode 100644 index 0000000000000000000000000000000000000000..e04de6e4ea75562ab823e256f2b54b0c16ab9cda Binary files /dev/null and b/sample/5592_orig/00036.jpg differ diff --git a/sample/5592_orig/00037.jpg b/sample/5592_orig/00037.jpg new file mode 100644 index 0000000000000000000000000000000000000000..3862799d30ef965e2e3596e3b7856c0406b9271c Binary files /dev/null and b/sample/5592_orig/00037.jpg differ diff --git a/sample/5592_orig/00038.jpg b/sample/5592_orig/00038.jpg new file mode 100644 index 0000000000000000000000000000000000000000..e4135d83bf3b9e68a55cea2b90e0a49bb6661cd2 Binary files /dev/null and b/sample/5592_orig/00038.jpg differ diff --git a/sample/5592_orig/00039.jpg b/sample/5592_orig/00039.jpg new file mode 100644 index 0000000000000000000000000000000000000000..f489748154ea3b40ad81568b352a6c7755d48ac6 Binary files /dev/null and b/sample/5592_orig/00039.jpg differ diff --git a/sample/5592_orig/00040.jpg b/sample/5592_orig/00040.jpg new file mode 100644 index 0000000000000000000000000000000000000000..a00a5ad43ccd3a87803f1a5cb98a6317c16a7b0d Binary files /dev/null and b/sample/5592_orig/00040.jpg differ diff --git a/sample/5592_orig/00041.jpg b/sample/5592_orig/00041.jpg new file mode 100644 index 0000000000000000000000000000000000000000..a9d980542ed4474938db6d02fdd99f01c2377aea Binary files /dev/null and b/sample/5592_orig/00041.jpg differ diff --git a/sample/5592_orig/00042.jpg b/sample/5592_orig/00042.jpg new file mode 100644 index 0000000000000000000000000000000000000000..ecc8392c4d79fac9e982a54a1d8cac32a016e4fb Binary files /dev/null and b/sample/5592_orig/00042.jpg differ diff --git a/sample/5592_orig/00043.jpg b/sample/5592_orig/00043.jpg new file mode 100644 index 0000000000000000000000000000000000000000..35fa2c2b6bed7e61b248ba6d442611b016e76a2d Binary files /dev/null and b/sample/5592_orig/00043.jpg differ diff --git a/sample/5592_orig/00044.jpg b/sample/5592_orig/00044.jpg new file mode 100644 index 0000000000000000000000000000000000000000..7cd0ae9113a5afa3abadd5577691b8dbb4e8fcc7 Binary files /dev/null and b/sample/5592_orig/00044.jpg differ diff --git a/sample/5592_orig/00045.jpg b/sample/5592_orig/00045.jpg new file mode 100644 index 0000000000000000000000000000000000000000..182792bca9adbd4729a48a0ac76766fcf229a413 Binary files /dev/null and b/sample/5592_orig/00045.jpg differ diff --git a/sample/5592_orig/00046.jpg b/sample/5592_orig/00046.jpg new file mode 100644 index 0000000000000000000000000000000000000000..d2aec1889a54db19754bbd3d39245f28354c390e Binary files /dev/null and b/sample/5592_orig/00046.jpg differ diff --git a/sample/5592_orig/00047.jpg b/sample/5592_orig/00047.jpg new file mode 100644 index 0000000000000000000000000000000000000000..833764a7805c4dac299017c3b34768df1b8d933b Binary files /dev/null and b/sample/5592_orig/00047.jpg differ diff --git a/sample/5592_orig/00048.jpg b/sample/5592_orig/00048.jpg new file mode 100644 index 0000000000000000000000000000000000000000..d179b37be27dff53a898f43ada87a3967b45bb2d Binary files /dev/null and b/sample/5592_orig/00048.jpg differ diff --git a/sample/5592_orig/00049.jpg b/sample/5592_orig/00049.jpg new file mode 100644 index 0000000000000000000000000000000000000000..0222108b29cf68cf9116bf7eac9da077b2d933d6 Binary files /dev/null and b/sample/5592_orig/00049.jpg differ diff --git a/sample/5592_orig/00050.jpg b/sample/5592_orig/00050.jpg new file mode 100644 index 0000000000000000000000000000000000000000..a5e281067ef15bd8060eb6f16be89fac426017d2 Binary files /dev/null and b/sample/5592_orig/00050.jpg differ diff --git a/sample/5592_orig/00051.jpg b/sample/5592_orig/00051.jpg new file mode 100644 index 0000000000000000000000000000000000000000..e553b4e0267d761ee84efa87c01fe150c5d1bc18 Binary files /dev/null and b/sample/5592_orig/00051.jpg differ diff --git a/sample/5592_orig/00052.jpg b/sample/5592_orig/00052.jpg new file mode 100644 index 0000000000000000000000000000000000000000..f0f5c87a23e1108a1b563d07ec884102731a2bef Binary files /dev/null and b/sample/5592_orig/00052.jpg differ diff --git a/sample/5592_orig/00053.jpg b/sample/5592_orig/00053.jpg new file mode 100644 index 0000000000000000000000000000000000000000..5703e67c24ebe3b4f43071e556378c21dffe6e12 Binary files /dev/null and b/sample/5592_orig/00053.jpg differ diff --git a/sample/5592_orig/00054.jpg b/sample/5592_orig/00054.jpg new file mode 100644 index 0000000000000000000000000000000000000000..a5f678ddc02785e7d7a0a9456cbefa74191dffb0 Binary files /dev/null and b/sample/5592_orig/00054.jpg differ diff --git a/sample/5592_orig/00055.jpg b/sample/5592_orig/00055.jpg new file mode 100644 index 0000000000000000000000000000000000000000..ea59fae6ca59d920b1a46260c607ad72001e0d4c Binary files /dev/null and b/sample/5592_orig/00055.jpg differ diff --git a/sample/5592_orig/00056.jpg b/sample/5592_orig/00056.jpg new file mode 100644 index 0000000000000000000000000000000000000000..ed820171c806395b25eea7e1f565d329f66738fb Binary files /dev/null and b/sample/5592_orig/00056.jpg differ diff --git a/sample/5592_orig/00057.jpg b/sample/5592_orig/00057.jpg new file mode 100644 index 0000000000000000000000000000000000000000..17891878a236a76e4a60ea1eef31ac2afd996290 Binary files /dev/null and b/sample/5592_orig/00057.jpg differ diff --git a/sample/5592_orig/00058.jpg b/sample/5592_orig/00058.jpg new file mode 100644 index 0000000000000000000000000000000000000000..0d474c6f8dd55ae7b595a365716ac5f03ec87d25 Binary files /dev/null and b/sample/5592_orig/00058.jpg differ diff --git a/sample/5592_orig/00059.jpg b/sample/5592_orig/00059.jpg new file mode 100644 index 0000000000000000000000000000000000000000..97ecdefc49f8b2944b15db231361a6032aa43de3 Binary files /dev/null and b/sample/5592_orig/00059.jpg differ diff --git a/sample/5592_orig/00060.jpg b/sample/5592_orig/00060.jpg new file mode 100644 index 0000000000000000000000000000000000000000..fd490046d469550ce35c69af14856d506bb5e1c8 Binary files /dev/null and b/sample/5592_orig/00060.jpg differ diff --git a/sample/5592_orig/00061.jpg b/sample/5592_orig/00061.jpg new file mode 100644 index 0000000000000000000000000000000000000000..1053ea2e5dd51307aad235ccec393ec3981cd285 Binary files /dev/null and b/sample/5592_orig/00061.jpg differ diff --git a/sample/5592_orig/00062.jpg b/sample/5592_orig/00062.jpg new file mode 100644 index 0000000000000000000000000000000000000000..0a72e8b075d49483c47a66668dff8bda79026551 Binary files /dev/null and b/sample/5592_orig/00062.jpg differ diff --git a/sample/5592_orig/00063.jpg b/sample/5592_orig/00063.jpg new file mode 100644 index 0000000000000000000000000000000000000000..caf8cae6ef795c5bce08361abcf244ad76d4f341 Binary files /dev/null and b/sample/5592_orig/00063.jpg differ diff --git a/sample/5592_orig/00064.jpg b/sample/5592_orig/00064.jpg new file mode 100644 index 0000000000000000000000000000000000000000..9ba54fce06767965849db6bc4cae5b0e334f5ee6 Binary files /dev/null and b/sample/5592_orig/00064.jpg differ diff --git a/sample/5592_orig/00065.jpg b/sample/5592_orig/00065.jpg new file mode 100644 index 0000000000000000000000000000000000000000..53b909e8aecd6dccfd6b0a22d282d4af787e2d6e Binary files /dev/null and b/sample/5592_orig/00065.jpg differ diff --git a/sample/5592_orig/00066.jpg b/sample/5592_orig/00066.jpg new file mode 100644 index 0000000000000000000000000000000000000000..2f32d0328418482f56246285694c08524963bbc3 Binary files /dev/null and b/sample/5592_orig/00066.jpg differ diff --git a/sample/5592_orig/00067.jpg b/sample/5592_orig/00067.jpg new file mode 100644 index 0000000000000000000000000000000000000000..60dc4b861295fcc364fadb32c47e6171265d4343 Binary files /dev/null and b/sample/5592_orig/00067.jpg differ diff --git a/sample/6586_orig.json b/sample/6586_orig.json new file mode 100644 index 0000000000000000000000000000000000000000..cf8cbf4154e42b84de8bfb95a32bd14efa60c104 --- /dev/null +++ b/sample/6586_orig.json @@ -0,0 +1,857 @@ +{ + "bbox": { + "sample/6586_orig/00001.jpg": [ + 3, + 157, + 60, + 164 + ], + "sample/6586_orig/00002.jpg": [ + 3, + 157, + 60, + 164 + ], + "sample/6586_orig/00003.jpg": [ + 3, + 157, + 59, + 164 + ], + "sample/6586_orig/00004.jpg": [ + 3, + 158, + 58, + 165 + ], + "sample/6586_orig/00005.jpg": [ + 3, + 158, + 58, + 166 + ], + "sample/6586_orig/00006.jpg": [ + 4, + 158, + 57, + 166 + ], + "sample/6586_orig/00007.jpg": [ + 4, + 158, + 56, + 166 + ], + "sample/6586_orig/00008.jpg": [ + 4, + 157, + 56, + 166 + ], + "sample/6586_orig/00009.jpg": [ + 4, + 157, + 55, + 165 + ], + "sample/6586_orig/00010.jpg": [ + 5, + 158, + 55, + 165 + ], + "sample/6586_orig/00011.jpg": [ + 5, + 159, + 54, + 165 + ], + "sample/6586_orig/00012.jpg": [ + 6, + 160, + 54, + 165 + ], + "sample/6586_orig/00013.jpg": [ + 6, + 162, + 54, + 165 + ], + "sample/6586_orig/00014.jpg": [ + 6, + 163, + 55, + 166 + ], + "sample/6586_orig/00015.jpg": [ + 6, + 163, + 55, + 165 + ], + "sample/6586_orig/00016.jpg": [ + 6, + 163, + 55, + 164 + ], + "sample/6586_orig/00017.jpg": [ + 5, + 162, + 55, + 164 + ], + "sample/6586_orig/00018.jpg": [ + 5, + 162, + 55, + 164 + ], + "sample/6586_orig/00019.jpg": [ + 5, + 162, + 55, + 164 + ], + "sample/6586_orig/00020.jpg": [ + 5, + 161, + 54, + 165 + ], + "sample/6586_orig/00021.jpg": [ + 5, + 161, + 54, + 165 + ], + "sample/6586_orig/00022.jpg": [ + 6, + 162, + 53, + 166 + ], + "sample/6586_orig/00023.jpg": [ + 5, + 161, + 50, + 166 + ], + "sample/6586_orig/00024.jpg": [ + 5, + 161, + 48, + 165 + ], + "sample/6586_orig/00025.jpg": [ + 5, + 162, + 47, + 166 + ], + "sample/6586_orig/00026.jpg": [ + 4, + 162, + 47, + 167 + ], + "sample/6586_orig/00027.jpg": [ + 4, + 163, + 48, + 167 + ], + "sample/6586_orig/00028.jpg": [ + 4, + 163, + 49, + 167 + ], + "sample/6586_orig/00029.jpg": [ + 5, + 164, + 51, + 167 + ], + "sample/6586_orig/00030.jpg": [ + 5, + 165, + 52, + 166 + ], + "sample/6586_orig/00031.jpg": [ + 5, + 164, + 52, + 165 + ], + "sample/6586_orig/00032.jpg": [ + 4, + 164, + 53, + 165 + ], + "sample/6586_orig/00033.jpg": [ + 4, + 164, + 53, + 164 + ], + "sample/6586_orig/00034.jpg": [ + 4, + 163, + 54, + 164 + ], + "sample/6586_orig/00035.jpg": [ + 4, + 162, + 54, + 164 + ], + "sample/6586_orig/00036.jpg": [ + 4, + 162, + 53, + 165 + ], + "sample/6586_orig/00037.jpg": [ + 4, + 163, + 52, + 165 + ], + "sample/6586_orig/00038.jpg": [ + 4, + 164, + 53, + 165 + ], + "sample/6586_orig/00039.jpg": [ + 4, + 165, + 51, + 166 + ], + "sample/6586_orig/00040.jpg": [ + 4, + 166, + 51, + 165 + ], + "sample/6586_orig/00041.jpg": [ + 4, + 166, + 51, + 165 + ], + "sample/6586_orig/00042.jpg": [ + 4, + 166, + 52, + 165 + ], + "sample/6586_orig/00043.jpg": [ + 4, + 165, + 51, + 164 + ], + "sample/6586_orig/00044.jpg": [ + 3, + 165, + 50, + 164 + ], + "sample/6586_orig/00045.jpg": [ + 3, + 164, + 51, + 165 + ], + "sample/6586_orig/00046.jpg": [ + 4, + 164, + 51, + 165 + ], + "sample/6586_orig/00047.jpg": [ + 4, + 164, + 51, + 165 + ], + "sample/6586_orig/00048.jpg": [ + 4, + 163, + 50, + 166 + ], + "sample/6586_orig/00049.jpg": [ + 4, + 163, + 50, + 166 + ], + "sample/6586_orig/00050.jpg": [ + 4, + 163, + 48, + 166 + ], + "sample/6586_orig/00051.jpg": [ + 3, + 163, + 47, + 165 + ], + "sample/6586_orig/00052.jpg": [ + 3, + 163, + 46, + 164 + ], + "sample/6586_orig/00053.jpg": [ + 3, + 164, + 48, + 164 + ], + "sample/6586_orig/00054.jpg": [ + 3, + 164, + 50, + 164 + ], + "sample/6586_orig/00055.jpg": [ + 3, + 165, + 51, + 164 + ], + "sample/6586_orig/00056.jpg": [ + 3, + 165, + 52, + 163 + ], + "sample/6586_orig/00057.jpg": [ + 3, + 165, + 52, + 164 + ], + "sample/6586_orig/00058.jpg": [ + 2, + 165, + 51, + 164 + ], + "sample/6586_orig/00059.jpg": [ + 2, + 166, + 50, + 164 + ], + "sample/6586_orig/00060.jpg": [ + 2, + 166, + 49, + 164 + ], + "sample/6586_orig/00061.jpg": [ + 2, + 167, + 49, + 164 + ], + "sample/6586_orig/00062.jpg": [ + 2, + 166, + 50, + 164 + ], + "sample/6586_orig/00063.jpg": [ + 1, + 166, + 50, + 164 + ], + "sample/6586_orig/00064.jpg": [ + 2, + 164, + 50, + 164 + ], + "sample/6586_orig/00065.jpg": [ + 2, + 163, + 52, + 164 + ], + "sample/6586_orig/00066.jpg": [ + 2, + 163, + 53, + 164 + ], + "sample/6586_orig/00067.jpg": [ + 3, + 163, + 53, + 164 + ], + "sample/6586_orig/00068.jpg": [ + 3, + 163, + 54, + 165 + ], + "sample/6586_orig/00069.jpg": [ + 4, + 161, + 55, + 165 + ], + "sample/6586_orig/00070.jpg": [ + 5, + 160, + 57, + 164 + ], + "sample/6586_orig/00071.jpg": [ + 6, + 159, + 57, + 163 + ], + "sample/6586_orig/00072.jpg": [ + 6, + 157, + 57, + 162 + ], + "sample/6586_orig/00073.jpg": [ + 6, + 156, + 56, + 161 + ], + "sample/6586_orig/00074.jpg": [ + 6, + 157, + 56, + 160 + ], + "sample/6586_orig/00075.jpg": [ + 5, + 159, + 55, + 160 + ], + "sample/6586_orig/00076.jpg": [ + 5, + 160, + 55, + 160 + ], + "sample/6586_orig/00077.jpg": [ + 5, + 161, + 54, + 160 + ], + "sample/6586_orig/00078.jpg": [ + 7, + 163, + 54, + 159 + ], + "sample/6586_orig/00079.jpg": [ + 9, + 165, + 54, + 157 + ], + "sample/6586_orig/00080.jpg": [ + 10, + 164, + 54, + 155 + ], + "sample/6586_orig/00081.jpg": [ + 11, + 164, + 55, + 153 + ], + "sample/6586_orig/00082.jpg": [ + 11, + 164, + 56, + 151 + ], + "sample/6586_orig/00083.jpg": [ + 10, + 163, + 56, + 151 + ], + "sample/6586_orig/00084.jpg": [ + 8, + 162, + 55, + 151 + ], + "sample/6586_orig/00085.jpg": [ + 7, + 162, + 54, + 150 + ], + "sample/6586_orig/00086.jpg": [ + 6, + 162, + 54, + 150 + ], + "sample/6586_orig/00087.jpg": [ + 6, + 162, + 53, + 150 + ], + "sample/6586_orig/00088.jpg": [ + 6, + 162, + 52, + 150 + ], + "sample/6586_orig/00089.jpg": [ + 6, + 162, + 53, + 149 + ], + "sample/6586_orig/00090.jpg": [ + 6, + 163, + 53, + 151 + ], + "sample/6586_orig/00091.jpg": [ + 5, + 162, + 54, + 153 + ], + "sample/6586_orig/00092.jpg": [ + 5, + 162, + 55, + 155 + ], + "sample/6586_orig/00093.jpg": [ + 4, + 162, + 55, + 157 + ], + "sample/6586_orig/00094.jpg": [ + 4, + 161, + 56, + 159 + ], + "sample/6586_orig/00095.jpg": [ + 5, + 160, + 57, + 159 + ], + "sample/6586_orig/00096.jpg": [ + 5, + 159, + 57, + 159 + ], + "sample/6586_orig/00097.jpg": [ + 5, + 158, + 57, + 160 + ], + "sample/6586_orig/00098.jpg": [ + 5, + 158, + 57, + 160 + ], + "sample/6586_orig/00099.jpg": [ + 4, + 159, + 57, + 161 + ], + "sample/6586_orig/00100.jpg": [ + 4, + 159, + 57, + 162 + ], + "sample/6586_orig/00101.jpg": [ + 3, + 160, + 58, + 162 + ], + "sample/6586_orig/00102.jpg": [ + 3, + 161, + 58, + 162 + ], + "sample/6586_orig/00103.jpg": [ + 3, + 161, + 58, + 162 + ], + "sample/6586_orig/00104.jpg": [ + 4, + 160, + 58, + 161 + ], + "sample/6586_orig/00105.jpg": [ + 4, + 159, + 57, + 160 + ], + "sample/6586_orig/00106.jpg": [ + 4, + 158, + 57, + 159 + ], + "sample/6586_orig/00107.jpg": [ + 5, + 157, + 57, + 159 + ], + "sample/6586_orig/00108.jpg": [ + 5, + 157, + 57, + 159 + ], + "sample/6586_orig/00109.jpg": [ + 5, + 157, + 58, + 160 + ], + "sample/6586_orig/00110.jpg": [ + 4, + 159, + 58, + 162 + ], + "sample/6586_orig/00111.jpg": [ + 4, + 159, + 59, + 164 + ], + "sample/6586_orig/00112.jpg": [ + 4, + 161, + 60, + 165 + ], + "sample/6586_orig/00113.jpg": [ + 4, + 162, + 60, + 166 + ], + "sample/6586_orig/00114.jpg": [ + 3, + 163, + 60, + 166 + ], + "sample/6586_orig/00115.jpg": [ + 4, + 162, + 61, + 166 + ], + "sample/6586_orig/00116.jpg": [ + 6, + 162, + 61, + 165 + ], + "sample/6586_orig/00117.jpg": [ + 6, + 162, + 60, + 165 + ], + "sample/6586_orig/00118.jpg": [ + 7, + 162, + 60, + 164 + ], + "sample/6586_orig/00119.jpg": [ + 7, + 162, + 58, + 163 + ], + "sample/6586_orig/00120.jpg": [ + 6, + 162, + 57, + 163 + ], + "sample/6586_orig/00121.jpg": [ + 5, + 161, + 57, + 162 + ], + "sample/6586_orig/00122.jpg": [ + 6, + 160, + 57, + 162 + ], + "sample/6586_orig/00123.jpg": [ + 6, + 160, + 58, + 162 + ], + "sample/6586_orig/00124.jpg": [ + 6, + 159, + 58, + 163 + ], + "sample/6586_orig/00125.jpg": [ + 5, + 158, + 59, + 162 + ], + "sample/6586_orig/00126.jpg": [ + 5, + 158, + 59, + 162 + ], + "sample/6586_orig/00127.jpg": [ + 4, + 158, + 58, + 162 + ], + "sample/6586_orig/00128.jpg": [ + 5, + 157, + 58, + 160 + ], + "sample/6586_orig/00129.jpg": [ + 5, + 157, + 58, + 159 + ], + "sample/6586_orig/00130.jpg": [ + 6, + 157, + 58, + 158 + ], + "sample/6586_orig/00131.jpg": [ + 6, + 157, + 59, + 157 + ], + "sample/6586_orig/00132.jpg": [ + 6, + 158, + 60, + 157 + ], + "sample/6586_orig/00133.jpg": [ + 6, + 159, + 61, + 159 + ], + "sample/6586_orig/00134.jpg": [ + 6, + 160, + 61, + 160 + ], + "sample/6586_orig/00135.jpg": [ + 5, + 160, + 60, + 160 + ], + "sample/6586_orig/00136.jpg": [ + 6, + 159, + 60, + 162 + ], + "sample/6586_orig/00137.jpg": [ + 5, + 158, + 60, + 163 + ], + "sample/6586_orig/00138.jpg": [ + 6, + 157, + 61, + 166 + ], + "sample/6586_orig/00139.jpg": [ + 6, + 157, + 61, + 167 + ], + "sample/6586_orig/00140.jpg": [ + 6, + 157, + 62, + 168 + ], + "sample/6586_orig/00141.jpg": [ + 6, + 157, + 62, + 168 + ], + "sample/6586_orig/00142.jpg": [ + 6, + 157, + 62, + 168 + ] + }, + "format": "(y1, y2, x1, x2)" +} \ No newline at end of file diff --git a/sample/6586_orig.mp4 b/sample/6586_orig.mp4 new file mode 100644 index 0000000000000000000000000000000000000000..e46c8b8c7afd069f754ff6ba912ccaee33386778 Binary files /dev/null and b/sample/6586_orig.mp4 differ diff --git a/sample/6586_orig.wav b/sample/6586_orig.wav new file mode 100644 index 0000000000000000000000000000000000000000..805b53d934d889178ba47c0408d7fe7bebb80081 Binary files /dev/null and b/sample/6586_orig.wav differ diff --git a/sample/6586_orig/00001.jpg b/sample/6586_orig/00001.jpg new file mode 100644 index 0000000000000000000000000000000000000000..e248865b292e528ac5994b0c34e157fbb77d9661 Binary files /dev/null and b/sample/6586_orig/00001.jpg differ diff --git a/sample/6586_orig/00002.jpg b/sample/6586_orig/00002.jpg new file mode 100644 index 0000000000000000000000000000000000000000..f612d88b5f3eb7a706447687291af1cfd88c82d3 Binary files /dev/null and b/sample/6586_orig/00002.jpg differ diff --git a/sample/6586_orig/00003.jpg b/sample/6586_orig/00003.jpg new file mode 100644 index 0000000000000000000000000000000000000000..f7e43824c0273315d6495933bbea884814236b1c Binary files /dev/null and b/sample/6586_orig/00003.jpg differ diff --git a/sample/6586_orig/00004.jpg b/sample/6586_orig/00004.jpg new file mode 100644 index 0000000000000000000000000000000000000000..c5ca4f09f835c93482beab2bce874907c6160b46 Binary files /dev/null and b/sample/6586_orig/00004.jpg differ diff --git a/sample/6586_orig/00005.jpg b/sample/6586_orig/00005.jpg new file mode 100644 index 0000000000000000000000000000000000000000..f913a870ec46f196d9a94772d58ceb2c046b9480 Binary files /dev/null and b/sample/6586_orig/00005.jpg differ diff --git a/sample/6586_orig/00006.jpg b/sample/6586_orig/00006.jpg new file mode 100644 index 0000000000000000000000000000000000000000..9124c41ebc781b8449541727a09fd4926ee4ab1f Binary files /dev/null and b/sample/6586_orig/00006.jpg differ diff --git a/sample/6586_orig/00007.jpg b/sample/6586_orig/00007.jpg new file mode 100644 index 0000000000000000000000000000000000000000..9d08ca02e4489c05acaeb912384fb2bbecf44233 Binary files /dev/null and b/sample/6586_orig/00007.jpg differ diff --git a/sample/6586_orig/00008.jpg b/sample/6586_orig/00008.jpg new file mode 100644 index 0000000000000000000000000000000000000000..977a62c1b5569fbe9f69b81376c21316e1deca2b Binary files /dev/null and b/sample/6586_orig/00008.jpg differ diff --git a/sample/6586_orig/00009.jpg b/sample/6586_orig/00009.jpg new file mode 100644 index 0000000000000000000000000000000000000000..9818f8e1e40dcb15c948a34038ef8d089cb908d5 Binary files /dev/null and b/sample/6586_orig/00009.jpg differ diff --git a/sample/6586_orig/00010.jpg b/sample/6586_orig/00010.jpg new file mode 100644 index 0000000000000000000000000000000000000000..58a25eb08c7cc6647df6c454300ddfef09945187 Binary files /dev/null and b/sample/6586_orig/00010.jpg differ diff --git a/sample/6586_orig/00011.jpg b/sample/6586_orig/00011.jpg new file mode 100644 index 0000000000000000000000000000000000000000..f4c88477f3acf26c6655bf5c438251240b2996e1 Binary files /dev/null and b/sample/6586_orig/00011.jpg differ diff --git a/sample/6586_orig/00012.jpg b/sample/6586_orig/00012.jpg new file mode 100644 index 0000000000000000000000000000000000000000..8dfe38920c94d21f9a0b1ebcd6b32e071d3c9ab5 Binary files /dev/null and b/sample/6586_orig/00012.jpg differ diff --git a/sample/6586_orig/00013.jpg b/sample/6586_orig/00013.jpg new file mode 100644 index 0000000000000000000000000000000000000000..271731949f332e20ffa741a4aa2297de9deeb613 Binary files /dev/null and b/sample/6586_orig/00013.jpg differ diff --git a/sample/6586_orig/00014.jpg b/sample/6586_orig/00014.jpg new file mode 100644 index 0000000000000000000000000000000000000000..32625f276c3085b6cc26d08ebd7f78e2ce45623d Binary files /dev/null and b/sample/6586_orig/00014.jpg differ diff --git a/sample/6586_orig/00015.jpg b/sample/6586_orig/00015.jpg new file mode 100644 index 0000000000000000000000000000000000000000..c3d856db772b6906a396f58e64559870fb57f4f9 Binary files /dev/null and b/sample/6586_orig/00015.jpg differ diff --git a/sample/6586_orig/00016.jpg b/sample/6586_orig/00016.jpg new file mode 100644 index 0000000000000000000000000000000000000000..7e5224a77b5575f8992c6692ef314cb82bf1af25 Binary files /dev/null and b/sample/6586_orig/00016.jpg differ diff --git a/sample/6586_orig/00017.jpg b/sample/6586_orig/00017.jpg new file mode 100644 index 0000000000000000000000000000000000000000..452e87120579cf3c959a2e93a41652f1cf0f9653 Binary files /dev/null and b/sample/6586_orig/00017.jpg differ diff --git a/sample/6586_orig/00018.jpg b/sample/6586_orig/00018.jpg new file mode 100644 index 0000000000000000000000000000000000000000..70dcb439f2d190cd124b2ab24a8e27c3a41f1c03 Binary files /dev/null and b/sample/6586_orig/00018.jpg differ diff --git a/sample/6586_orig/00019.jpg b/sample/6586_orig/00019.jpg new file mode 100644 index 0000000000000000000000000000000000000000..a1c8437c089eae4cfddad9aa43b760099da4c9d0 Binary files /dev/null and b/sample/6586_orig/00019.jpg differ diff --git a/sample/6586_orig/00020.jpg b/sample/6586_orig/00020.jpg new file mode 100644 index 0000000000000000000000000000000000000000..0029d7a99ff7aa02c15889de1b056f38c6562f96 Binary files /dev/null and b/sample/6586_orig/00020.jpg differ diff --git a/sample/6586_orig/00021.jpg b/sample/6586_orig/00021.jpg new file mode 100644 index 0000000000000000000000000000000000000000..a6b06a54bd582b9fa12a9e62d2b41a1ee8125f5b Binary files /dev/null and b/sample/6586_orig/00021.jpg differ diff --git a/sample/6586_orig/00022.jpg b/sample/6586_orig/00022.jpg new file mode 100644 index 0000000000000000000000000000000000000000..4593e50c5de0e65b442e57f0e322767e4e4f91a5 Binary files /dev/null and b/sample/6586_orig/00022.jpg differ diff --git a/sample/6586_orig/00023.jpg b/sample/6586_orig/00023.jpg new file mode 100644 index 0000000000000000000000000000000000000000..e03154e386f2057068fe58985e606be4e17f8705 Binary files /dev/null and b/sample/6586_orig/00023.jpg differ diff --git a/sample/6586_orig/00024.jpg b/sample/6586_orig/00024.jpg new file mode 100644 index 0000000000000000000000000000000000000000..01a5f0ceebeb084d6c0c9f5b3e1c70b7966eccb6 Binary files /dev/null and b/sample/6586_orig/00024.jpg differ diff --git a/sample/6586_orig/00025.jpg b/sample/6586_orig/00025.jpg new file mode 100644 index 0000000000000000000000000000000000000000..5be34b5a680a285cd230f6f6161a96ac0b91c27d Binary files /dev/null and b/sample/6586_orig/00025.jpg differ diff --git a/sample/6586_orig/00026.jpg b/sample/6586_orig/00026.jpg new file mode 100644 index 0000000000000000000000000000000000000000..81abd511bcb45efcf285868c633358685078aa1b Binary files /dev/null and b/sample/6586_orig/00026.jpg differ diff --git a/sample/6586_orig/00027.jpg b/sample/6586_orig/00027.jpg new file mode 100644 index 0000000000000000000000000000000000000000..d1dcd2b8a08bf1074a0070c720a37e4f9ec4a71a Binary files /dev/null and b/sample/6586_orig/00027.jpg differ diff --git a/sample/6586_orig/00028.jpg b/sample/6586_orig/00028.jpg new file mode 100644 index 0000000000000000000000000000000000000000..2710f4596f4d9445dfff3fec6c4e3282735bbd36 Binary files /dev/null and b/sample/6586_orig/00028.jpg differ diff --git a/sample/6586_orig/00029.jpg b/sample/6586_orig/00029.jpg new file mode 100644 index 0000000000000000000000000000000000000000..4e85778b6f217c586888f0269db26875766f875e Binary files /dev/null and b/sample/6586_orig/00029.jpg differ diff --git a/sample/6586_orig/00030.jpg b/sample/6586_orig/00030.jpg new file mode 100644 index 0000000000000000000000000000000000000000..ba82693cd90335f21e714445029ac33b5ea2fb6f Binary files /dev/null and b/sample/6586_orig/00030.jpg differ diff --git a/sample/6586_orig/00031.jpg b/sample/6586_orig/00031.jpg new file mode 100644 index 0000000000000000000000000000000000000000..7787e8058771ec9d2d1ea5129ec6308fb4c722a4 Binary files /dev/null and b/sample/6586_orig/00031.jpg differ diff --git a/sample/6586_orig/00032.jpg b/sample/6586_orig/00032.jpg new file mode 100644 index 0000000000000000000000000000000000000000..6e2e7a25e1c85928cda36799e418b7ac0e51fc87 Binary files /dev/null and b/sample/6586_orig/00032.jpg differ diff --git a/sample/6586_orig/00033.jpg b/sample/6586_orig/00033.jpg new file mode 100644 index 0000000000000000000000000000000000000000..5be1d975b971f5be5f6c6c79c8c7d6312e426e93 Binary files /dev/null and b/sample/6586_orig/00033.jpg differ diff --git a/sample/6586_orig/00034.jpg b/sample/6586_orig/00034.jpg new file mode 100644 index 0000000000000000000000000000000000000000..009cffcab3f923c7e82087096bef7f969d64a30d Binary files /dev/null and b/sample/6586_orig/00034.jpg differ diff --git a/sample/6586_orig/00035.jpg b/sample/6586_orig/00035.jpg new file mode 100644 index 0000000000000000000000000000000000000000..9b776074f694761a22b0c474e9af38d9344939c9 Binary files /dev/null and b/sample/6586_orig/00035.jpg differ diff --git a/sample/6586_orig/00036.jpg b/sample/6586_orig/00036.jpg new file mode 100644 index 0000000000000000000000000000000000000000..cef81685271fa5776eb249933fb5c714813443b4 Binary files /dev/null and b/sample/6586_orig/00036.jpg differ diff --git a/sample/6586_orig/00037.jpg b/sample/6586_orig/00037.jpg new file mode 100644 index 0000000000000000000000000000000000000000..93538ddd1849810f32c1f099ff071f504f574640 Binary files /dev/null and b/sample/6586_orig/00037.jpg differ diff --git a/sample/6586_orig/00038.jpg b/sample/6586_orig/00038.jpg new file mode 100644 index 0000000000000000000000000000000000000000..299f83a293e01c00a093ca83d5a54092a572e782 Binary files /dev/null and b/sample/6586_orig/00038.jpg differ diff --git a/sample/6586_orig/00039.jpg b/sample/6586_orig/00039.jpg new file mode 100644 index 0000000000000000000000000000000000000000..09ccd7e7d26a58ffe889253d5cc611b90ac45cd9 Binary files /dev/null and b/sample/6586_orig/00039.jpg differ diff --git a/sample/6586_orig/00040.jpg b/sample/6586_orig/00040.jpg new file mode 100644 index 0000000000000000000000000000000000000000..b9271e2190f63a4ed15b1dd4f3e99f7e6a95c291 Binary files /dev/null and b/sample/6586_orig/00040.jpg differ diff --git a/sample/6586_orig/00041.jpg b/sample/6586_orig/00041.jpg new file mode 100644 index 0000000000000000000000000000000000000000..669670cc2838abb5e8276e512a5376913877d466 Binary files /dev/null and b/sample/6586_orig/00041.jpg differ diff --git a/sample/6586_orig/00042.jpg b/sample/6586_orig/00042.jpg new file mode 100644 index 0000000000000000000000000000000000000000..99596920f9fb7a53c9d1ec609b9c0cf762874041 Binary files /dev/null and b/sample/6586_orig/00042.jpg differ diff --git a/sample/6586_orig/00043.jpg b/sample/6586_orig/00043.jpg new file mode 100644 index 0000000000000000000000000000000000000000..786977a4257e21a9ea125ce23fbd52059dca00b6 Binary files /dev/null and b/sample/6586_orig/00043.jpg differ diff --git a/sample/6586_orig/00044.jpg b/sample/6586_orig/00044.jpg new file mode 100644 index 0000000000000000000000000000000000000000..50652712d5830af410f780ada60c0dee96f7aeb3 Binary files /dev/null and b/sample/6586_orig/00044.jpg differ diff --git a/sample/6586_orig/00045.jpg b/sample/6586_orig/00045.jpg new file mode 100644 index 0000000000000000000000000000000000000000..257b01863dbb7c583752bf6104f5773cd7e6cb8f Binary files /dev/null and b/sample/6586_orig/00045.jpg differ diff --git a/sample/6586_orig/00046.jpg b/sample/6586_orig/00046.jpg new file mode 100644 index 0000000000000000000000000000000000000000..6e605b6e7ed4980bc03781b81f47a693e3049517 Binary files /dev/null and b/sample/6586_orig/00046.jpg differ diff --git a/sample/6586_orig/00047.jpg b/sample/6586_orig/00047.jpg new file mode 100644 index 0000000000000000000000000000000000000000..8d15ff140de1341f6ba3e5b246782cc0ab1105ee Binary files /dev/null and b/sample/6586_orig/00047.jpg differ diff --git a/sample/6586_orig/00048.jpg b/sample/6586_orig/00048.jpg new file mode 100644 index 0000000000000000000000000000000000000000..8ab53446717ba9e93a5e038f40840531e916a6e7 Binary files /dev/null and b/sample/6586_orig/00048.jpg differ diff --git a/sample/6586_orig/00049.jpg b/sample/6586_orig/00049.jpg new file mode 100644 index 0000000000000000000000000000000000000000..02faa3751a8685b7bd6a39d19028f815542f1367 Binary files /dev/null and b/sample/6586_orig/00049.jpg differ diff --git a/sample/6586_orig/00050.jpg b/sample/6586_orig/00050.jpg new file mode 100644 index 0000000000000000000000000000000000000000..24d3e8fceb945051eda12d032621827b4b084c1d Binary files /dev/null and b/sample/6586_orig/00050.jpg differ diff --git a/sample/6586_orig/00051.jpg b/sample/6586_orig/00051.jpg new file mode 100644 index 0000000000000000000000000000000000000000..07ed64acd500705b7261501c38576196fd485c3c Binary files /dev/null and b/sample/6586_orig/00051.jpg differ diff --git a/sample/6586_orig/00052.jpg b/sample/6586_orig/00052.jpg new file mode 100644 index 0000000000000000000000000000000000000000..6c935c10ee8c85b69450463c26c53f83212c3ae1 Binary files /dev/null and b/sample/6586_orig/00052.jpg differ diff --git a/sample/6586_orig/00053.jpg b/sample/6586_orig/00053.jpg new file mode 100644 index 0000000000000000000000000000000000000000..f6af3e0155c8b4feea10bfb3aae8a025d469fe49 Binary files /dev/null and b/sample/6586_orig/00053.jpg differ diff --git a/sample/6586_orig/00054.jpg b/sample/6586_orig/00054.jpg new file mode 100644 index 0000000000000000000000000000000000000000..3d23cd75565a67af8c217c40823c7bbf331010b7 Binary files /dev/null and b/sample/6586_orig/00054.jpg differ diff --git a/sample/6586_orig/00055.jpg b/sample/6586_orig/00055.jpg new file mode 100644 index 0000000000000000000000000000000000000000..7d97bd317922a6e81cae0acdd7dfa945b175359a Binary files /dev/null and b/sample/6586_orig/00055.jpg differ diff --git a/sample/6586_orig/00056.jpg b/sample/6586_orig/00056.jpg new file mode 100644 index 0000000000000000000000000000000000000000..66403c5003ebcb26f5b0007de438a08b181747d4 Binary files /dev/null and b/sample/6586_orig/00056.jpg differ diff --git a/sample/6586_orig/00057.jpg b/sample/6586_orig/00057.jpg new file mode 100644 index 0000000000000000000000000000000000000000..dc9996b0579db6a301f9ce5dd67fb17a443cd897 Binary files /dev/null and b/sample/6586_orig/00057.jpg differ diff --git a/sample/6586_orig/00058.jpg b/sample/6586_orig/00058.jpg new file mode 100644 index 0000000000000000000000000000000000000000..fe8274425f4746a5de5c1f91898cf5c34ee053b6 Binary files /dev/null and b/sample/6586_orig/00058.jpg differ diff --git a/sample/6586_orig/00059.jpg b/sample/6586_orig/00059.jpg new file mode 100644 index 0000000000000000000000000000000000000000..8930685f0b530dba96736c0c858b63744fdff786 Binary files /dev/null and b/sample/6586_orig/00059.jpg differ diff --git a/sample/6586_orig/00060.jpg b/sample/6586_orig/00060.jpg new file mode 100644 index 0000000000000000000000000000000000000000..ff698ce79c334334a37ac9221e5d586949c51bfe Binary files /dev/null and b/sample/6586_orig/00060.jpg differ diff --git a/sample/6586_orig/00061.jpg b/sample/6586_orig/00061.jpg new file mode 100644 index 0000000000000000000000000000000000000000..540c6fac914eca7c25d18103ec073f9f99cef6ed Binary files /dev/null and b/sample/6586_orig/00061.jpg differ diff --git a/sample/6586_orig/00062.jpg b/sample/6586_orig/00062.jpg new file mode 100644 index 0000000000000000000000000000000000000000..6e08c80290564d7172dca7dd300c1854d9393074 Binary files /dev/null and b/sample/6586_orig/00062.jpg differ diff --git a/sample/6586_orig/00063.jpg b/sample/6586_orig/00063.jpg new file mode 100644 index 0000000000000000000000000000000000000000..56bf656ba5f2091259f3d624dde912488496beba Binary files /dev/null and b/sample/6586_orig/00063.jpg differ diff --git a/sample/6586_orig/00064.jpg b/sample/6586_orig/00064.jpg new file mode 100644 index 0000000000000000000000000000000000000000..30219c361993e3358f2f7e15973dda27201c441e Binary files /dev/null and b/sample/6586_orig/00064.jpg differ diff --git a/sample/6586_orig/00065.jpg b/sample/6586_orig/00065.jpg new file mode 100644 index 0000000000000000000000000000000000000000..3773de96117a012b4c3742815fd376c442464eda Binary files /dev/null and b/sample/6586_orig/00065.jpg differ diff --git a/sample/6586_orig/00066.jpg b/sample/6586_orig/00066.jpg new file mode 100644 index 0000000000000000000000000000000000000000..82c0127a0e238f982337d9f404a34be3dc97cbc7 Binary files /dev/null and b/sample/6586_orig/00066.jpg differ diff --git a/sample/6586_orig/00067.jpg b/sample/6586_orig/00067.jpg new file mode 100644 index 0000000000000000000000000000000000000000..0adb26cf5088b84ed5fb3a1ea464af1a8db35b2a Binary files /dev/null and b/sample/6586_orig/00067.jpg differ diff --git a/sample/6586_orig/00068.jpg b/sample/6586_orig/00068.jpg new file mode 100644 index 0000000000000000000000000000000000000000..acfb10dff72e330ff6278beb02729ee3947fe1ca Binary files /dev/null and b/sample/6586_orig/00068.jpg differ diff --git a/sample/6586_orig/00069.jpg b/sample/6586_orig/00069.jpg new file mode 100644 index 0000000000000000000000000000000000000000..d2024959a232bf8d6eb1edd265c7f6c54194fe00 Binary files /dev/null and b/sample/6586_orig/00069.jpg differ diff --git a/sample/6586_orig/00070.jpg b/sample/6586_orig/00070.jpg new file mode 100644 index 0000000000000000000000000000000000000000..fe2dad3a9bb087010fb16ef3857e687f9aa7dab3 Binary files /dev/null and b/sample/6586_orig/00070.jpg differ diff --git a/sample/6586_orig/00071.jpg b/sample/6586_orig/00071.jpg new file mode 100644 index 0000000000000000000000000000000000000000..28b2d854096881ad726038c2fd6b00a940fc8917 Binary files /dev/null and b/sample/6586_orig/00071.jpg differ diff --git a/sample/6586_orig/00072.jpg b/sample/6586_orig/00072.jpg new file mode 100644 index 0000000000000000000000000000000000000000..35ad6a2658527be1601a7bb17d5522dea2c79dbc Binary files /dev/null and b/sample/6586_orig/00072.jpg differ diff --git a/sample/6586_orig/00073.jpg b/sample/6586_orig/00073.jpg new file mode 100644 index 0000000000000000000000000000000000000000..3380f46471f8c411f7ad1812a7311eed21d53764 Binary files /dev/null and b/sample/6586_orig/00073.jpg differ diff --git a/sample/6586_orig/00074.jpg b/sample/6586_orig/00074.jpg new file mode 100644 index 0000000000000000000000000000000000000000..6cab92fc699b696e7484cf86bb5938c66658a780 Binary files /dev/null and b/sample/6586_orig/00074.jpg differ diff --git a/sample/6586_orig/00075.jpg b/sample/6586_orig/00075.jpg new file mode 100644 index 0000000000000000000000000000000000000000..d79a81515fa36190ed1bb24132f66ac824137420 Binary files /dev/null and b/sample/6586_orig/00075.jpg differ diff --git a/sample/6586_orig/00076.jpg b/sample/6586_orig/00076.jpg new file mode 100644 index 0000000000000000000000000000000000000000..0130bab9468b954710525cca0cb12c646fae41c8 Binary files /dev/null and b/sample/6586_orig/00076.jpg differ diff --git a/sample/6586_orig/00077.jpg b/sample/6586_orig/00077.jpg new file mode 100644 index 0000000000000000000000000000000000000000..8dd16c4cba57a96ac93438e1871c5587e6ebe072 Binary files /dev/null and b/sample/6586_orig/00077.jpg differ diff --git a/sample/6586_orig/00078.jpg b/sample/6586_orig/00078.jpg new file mode 100644 index 0000000000000000000000000000000000000000..6fc3b52b4f2a3621ff444ca0e11cc08267640e4c Binary files /dev/null and b/sample/6586_orig/00078.jpg differ diff --git a/sample/6586_orig/00079.jpg b/sample/6586_orig/00079.jpg new file mode 100644 index 0000000000000000000000000000000000000000..d285d608343c42d74aed459a01d023022c112ecd Binary files /dev/null and b/sample/6586_orig/00079.jpg differ diff --git a/sample/6586_orig/00080.jpg b/sample/6586_orig/00080.jpg new file mode 100644 index 0000000000000000000000000000000000000000..bd5f1868e8b1d260daa7f4947158b7ff14fd5555 Binary files /dev/null and b/sample/6586_orig/00080.jpg differ diff --git a/sample/6586_orig/00081.jpg b/sample/6586_orig/00081.jpg new file mode 100644 index 0000000000000000000000000000000000000000..0e9dfa5c6fc569266d331d34012e6d3899e47d39 Binary files /dev/null and b/sample/6586_orig/00081.jpg differ diff --git a/sample/6586_orig/00082.jpg b/sample/6586_orig/00082.jpg new file mode 100644 index 0000000000000000000000000000000000000000..ce5317f2eae82d0f8a958f05de8b298b0a1c6807 Binary files /dev/null and b/sample/6586_orig/00082.jpg differ diff --git a/sample/6586_orig/00083.jpg b/sample/6586_orig/00083.jpg new file mode 100644 index 0000000000000000000000000000000000000000..ed8fc990312360e33f45a531c57bb5d4c175d311 Binary files /dev/null and b/sample/6586_orig/00083.jpg differ diff --git a/sample/6586_orig/00084.jpg b/sample/6586_orig/00084.jpg new file mode 100644 index 0000000000000000000000000000000000000000..e54af4e16520a03d22bfc0f95515fa1fb254adff Binary files /dev/null and b/sample/6586_orig/00084.jpg differ diff --git a/sample/6586_orig/00085.jpg b/sample/6586_orig/00085.jpg new file mode 100644 index 0000000000000000000000000000000000000000..63747d073d4cef772345063fb911b46aa961f19b Binary files /dev/null and b/sample/6586_orig/00085.jpg differ diff --git a/sample/6586_orig/00086.jpg b/sample/6586_orig/00086.jpg new file mode 100644 index 0000000000000000000000000000000000000000..a6e95209db31c5b99c7326a0f4cd333eb9ef8e42 Binary files /dev/null and b/sample/6586_orig/00086.jpg differ diff --git a/sample/6586_orig/00087.jpg b/sample/6586_orig/00087.jpg new file mode 100644 index 0000000000000000000000000000000000000000..0c9117995fc4987d15fa88dded6f0a7e7d861091 Binary files /dev/null and b/sample/6586_orig/00087.jpg differ diff --git a/sample/6586_orig/00088.jpg b/sample/6586_orig/00088.jpg new file mode 100644 index 0000000000000000000000000000000000000000..d542a4fdb01a6f4952c12058a2937d1d1b5cd216 Binary files /dev/null and b/sample/6586_orig/00088.jpg differ diff --git a/sample/6586_orig/00089.jpg b/sample/6586_orig/00089.jpg new file mode 100644 index 0000000000000000000000000000000000000000..8858f7b52513a623313f7a1f90828daa82735776 Binary files /dev/null and b/sample/6586_orig/00089.jpg differ diff --git a/sample/6586_orig/00090.jpg b/sample/6586_orig/00090.jpg new file mode 100644 index 0000000000000000000000000000000000000000..86b639c4a9d5cf46c35eb0a08d0e1493c32ede78 Binary files /dev/null and b/sample/6586_orig/00090.jpg differ diff --git a/sample/6586_orig/00091.jpg b/sample/6586_orig/00091.jpg new file mode 100644 index 0000000000000000000000000000000000000000..3fccc74af46747ee260bf557c24f7bfd02caa79f Binary files /dev/null and b/sample/6586_orig/00091.jpg differ diff --git a/sample/6586_orig/00092.jpg b/sample/6586_orig/00092.jpg new file mode 100644 index 0000000000000000000000000000000000000000..af3b674dc9a372660a25ace36004b96852b509b1 Binary files /dev/null and b/sample/6586_orig/00092.jpg differ diff --git a/sample/6586_orig/00093.jpg b/sample/6586_orig/00093.jpg new file mode 100644 index 0000000000000000000000000000000000000000..c3f9caff70d1adba35d07f36446cf11a25f2e444 Binary files /dev/null and b/sample/6586_orig/00093.jpg differ diff --git a/sample/6586_orig/00094.jpg b/sample/6586_orig/00094.jpg new file mode 100644 index 0000000000000000000000000000000000000000..a80cc6fc83470de2f872e6ee66e432d0cefd39e7 Binary files /dev/null and b/sample/6586_orig/00094.jpg differ diff --git a/sample/6586_orig/00095.jpg b/sample/6586_orig/00095.jpg new file mode 100644 index 0000000000000000000000000000000000000000..0a07c78cfb13c65bc46c4b5aa8fff1f5ad8681ed Binary files /dev/null and b/sample/6586_orig/00095.jpg differ diff --git a/sample/6586_orig/00096.jpg b/sample/6586_orig/00096.jpg new file mode 100644 index 0000000000000000000000000000000000000000..e5390c63af71d4411dd14602179f89dafe94e2e5 Binary files /dev/null and b/sample/6586_orig/00096.jpg differ diff --git a/sample/6586_orig/00097.jpg b/sample/6586_orig/00097.jpg new file mode 100644 index 0000000000000000000000000000000000000000..f503f73f050abe27c4079ba819767bb44e385135 Binary files /dev/null and b/sample/6586_orig/00097.jpg differ diff --git a/sample/6586_orig/00098.jpg b/sample/6586_orig/00098.jpg new file mode 100644 index 0000000000000000000000000000000000000000..fd40f587518bc4a7498f0b1b8f2156072bbe335f Binary files /dev/null and b/sample/6586_orig/00098.jpg differ diff --git a/sample/6586_orig/00099.jpg b/sample/6586_orig/00099.jpg new file mode 100644 index 0000000000000000000000000000000000000000..fa7ebb61636785cfffd8c2a364f464c68fe04819 Binary files /dev/null and b/sample/6586_orig/00099.jpg differ diff --git a/sample/6586_orig/00100.jpg b/sample/6586_orig/00100.jpg new file mode 100644 index 0000000000000000000000000000000000000000..10d3bf7aaf91e938651bb9da821fc8a1728ba5ef Binary files /dev/null and b/sample/6586_orig/00100.jpg differ diff --git a/sample/9948_orig.json b/sample/9948_orig.json new file mode 100644 index 0000000000000000000000000000000000000000..80d7acd9ec39b9079199c7b1477efb770aafca75 --- /dev/null +++ b/sample/9948_orig.json @@ -0,0 +1,371 @@ +{ + "bbox": { + "sample/9948_orig/00001.jpg": [ + 3, + 159, + 55, + 164 + ], + "sample/9948_orig/00002.jpg": [ + 3, + 160, + 54, + 164 + ], + "sample/9948_orig/00003.jpg": [ + 4, + 164, + 54, + 165 + ], + "sample/9948_orig/00004.jpg": [ + 4, + 169, + 54, + 166 + ], + "sample/9948_orig/00005.jpg": [ + 4, + 173, + 55, + 167 + ], + "sample/9948_orig/00006.jpg": [ + 4, + 174, + 55, + 167 + ], + "sample/9948_orig/00007.jpg": [ + 3, + 174, + 56, + 168 + ], + "sample/9948_orig/00008.jpg": [ + 3, + 171, + 56, + 169 + ], + "sample/9948_orig/00009.jpg": [ + 3, + 166, + 56, + 168 + ], + "sample/9948_orig/00010.jpg": [ + 3, + 164, + 56, + 168 + ], + "sample/9948_orig/00011.jpg": [ + 4, + 162, + 55, + 166 + ], + "sample/9948_orig/00012.jpg": [ + 4, + 162, + 55, + 165 + ], + "sample/9948_orig/00013.jpg": [ + 5, + 163, + 54, + 163 + ], + "sample/9948_orig/00014.jpg": [ + 5, + 164, + 54, + 163 + ], + "sample/9948_orig/00015.jpg": [ + 5, + 164, + 54, + 163 + ], + "sample/9948_orig/00016.jpg": [ + 5, + 166, + 54, + 164 + ], + "sample/9948_orig/00017.jpg": [ + 6, + 168, + 55, + 165 + ], + "sample/9948_orig/00018.jpg": [ + 6, + 170, + 57, + 167 + ], + "sample/9948_orig/00019.jpg": [ + 7, + 170, + 58, + 167 + ], + "sample/9948_orig/00020.jpg": [ + 8, + 170, + 58, + 167 + ], + "sample/9948_orig/00021.jpg": [ + 9, + 168, + 58, + 167 + ], + "sample/9948_orig/00022.jpg": [ + 9, + 166, + 58, + 166 + ], + "sample/9948_orig/00023.jpg": [ + 10, + 164, + 57, + 166 + ], + "sample/9948_orig/00024.jpg": [ + 11, + 164, + 57, + 165 + ], + "sample/9948_orig/00025.jpg": [ + 10, + 164, + 56, + 165 + ], + "sample/9948_orig/00026.jpg": [ + 10, + 165, + 55, + 165 + ], + "sample/9948_orig/00027.jpg": [ + 9, + 166, + 54, + 165 + ], + "sample/9948_orig/00028.jpg": [ + 8, + 165, + 54, + 165 + ], + "sample/9948_orig/00029.jpg": [ + 7, + 163, + 53, + 164 + ], + "sample/9948_orig/00030.jpg": [ + 7, + 161, + 52, + 164 + ], + "sample/9948_orig/00031.jpg": [ + 7, + 159, + 51, + 163 + ], + "sample/9948_orig/00032.jpg": [ + 7, + 158, + 50, + 163 + ], + "sample/9948_orig/00033.jpg": [ + 7, + 159, + 50, + 163 + ], + "sample/9948_orig/00034.jpg": [ + 8, + 160, + 51, + 163 + ], + "sample/9948_orig/00035.jpg": [ + 8, + 162, + 52, + 164 + ], + "sample/9948_orig/00036.jpg": [ + 9, + 163, + 53, + 165 + ], + "sample/9948_orig/00037.jpg": [ + 8, + 164, + 54, + 165 + ], + "sample/9948_orig/00038.jpg": [ + 7, + 164, + 55, + 165 + ], + "sample/9948_orig/00039.jpg": [ + 6, + 164, + 54, + 165 + ], + "sample/9948_orig/00040.jpg": [ + 5, + 164, + 54, + 164 + ], + "sample/9948_orig/00041.jpg": [ + 5, + 164, + 54, + 163 + ], + "sample/9948_orig/00042.jpg": [ + 5, + 163, + 54, + 163 + ], + "sample/9948_orig/00043.jpg": [ + 5, + 163, + 54, + 163 + ], + "sample/9948_orig/00044.jpg": [ + 6, + 163, + 55, + 163 + ], + "sample/9948_orig/00045.jpg": [ + 6, + 164, + 55, + 163 + ], + "sample/9948_orig/00046.jpg": [ + 6, + 164, + 55, + 164 + ], + "sample/9948_orig/00047.jpg": [ + 7, + 164, + 56, + 164 + ], + "sample/9948_orig/00048.jpg": [ + 7, + 164, + 56, + 164 + ], + "sample/9948_orig/00049.jpg": [ + 7, + 164, + 55, + 164 + ], + "sample/9948_orig/00050.jpg": [ + 7, + 163, + 55, + 164 + ], + "sample/9948_orig/00051.jpg": [ + 7, + 162, + 55, + 163 + ], + "sample/9948_orig/00052.jpg": [ + 6, + 162, + 54, + 163 + ], + "sample/9948_orig/00053.jpg": [ + 6, + 161, + 54, + 163 + ], + "sample/9948_orig/00054.jpg": [ + 6, + 161, + 54, + 162 + ], + "sample/9948_orig/00055.jpg": [ + 6, + 161, + 54, + 162 + ], + "sample/9948_orig/00056.jpg": [ + 6, + 160, + 54, + 163 + ], + "sample/9948_orig/00057.jpg": [ + 5, + 159, + 53, + 163 + ], + "sample/9948_orig/00058.jpg": [ + 5, + 159, + 53, + 163 + ], + "sample/9948_orig/00059.jpg": [ + 5, + 157, + 53, + 163 + ], + "sample/9948_orig/00060.jpg": [ + 4, + 157, + 52, + 163 + ], + "sample/9948_orig/00061.jpg": [ + 4, + 157, + 52, + 163 + ] + }, + "format": "(y1, y2, x1, x2)" +} \ No newline at end of file diff --git a/sample/9948_orig.mp4 b/sample/9948_orig.mp4 new file mode 100644 index 0000000000000000000000000000000000000000..a00f11794f57d896d3c2d7d44d68b8517777ffcc Binary files /dev/null and b/sample/9948_orig.mp4 differ diff --git a/sample/9948_orig.wav b/sample/9948_orig.wav new file mode 100644 index 0000000000000000000000000000000000000000..60b9f73cfa5d7b3a22024ef81f775c0d9c817345 Binary files /dev/null and b/sample/9948_orig.wav differ diff --git a/sample/9948_orig/00001.jpg b/sample/9948_orig/00001.jpg new file mode 100644 index 0000000000000000000000000000000000000000..5eda8da80d7886220d5bcb8265ae61b8977cba48 Binary files /dev/null and b/sample/9948_orig/00001.jpg differ diff --git a/sample/9948_orig/00002.jpg b/sample/9948_orig/00002.jpg new file mode 100644 index 0000000000000000000000000000000000000000..927497719725b6f2a4e4c3c33d3c14f101ce0f4f Binary files /dev/null and b/sample/9948_orig/00002.jpg differ diff --git a/sample/9948_orig/00003.jpg b/sample/9948_orig/00003.jpg new file mode 100644 index 0000000000000000000000000000000000000000..d6409dff2fa7d479c66f33792115dd2eadbb72de Binary files /dev/null and b/sample/9948_orig/00003.jpg differ diff --git a/sample/9948_orig/00004.jpg b/sample/9948_orig/00004.jpg new file mode 100644 index 0000000000000000000000000000000000000000..65b56d44a09736671346a6a88ebcfe0a665577a3 Binary files /dev/null and b/sample/9948_orig/00004.jpg differ diff --git a/sample/9948_orig/00005.jpg b/sample/9948_orig/00005.jpg new file mode 100644 index 0000000000000000000000000000000000000000..6187fd0201a91327375ef01e5ab5b5f48db2d40c Binary files /dev/null and b/sample/9948_orig/00005.jpg differ diff --git a/sample/9948_orig/00006.jpg b/sample/9948_orig/00006.jpg new file mode 100644 index 0000000000000000000000000000000000000000..3e1932deb3de8fe628cc81204a1f7e1edf37cfa2 Binary files /dev/null and b/sample/9948_orig/00006.jpg differ diff --git a/sample/9948_orig/00007.jpg b/sample/9948_orig/00007.jpg new file mode 100644 index 0000000000000000000000000000000000000000..1e63c2e03851597a63ba48f351e56ef5468ad640 Binary files /dev/null and b/sample/9948_orig/00007.jpg differ diff --git a/sample/9948_orig/00008.jpg b/sample/9948_orig/00008.jpg new file mode 100644 index 0000000000000000000000000000000000000000..3563f7debbc8e9aba19d148d4ecccd8f3a032d53 Binary files /dev/null and b/sample/9948_orig/00008.jpg differ diff --git a/sample/9948_orig/00009.jpg b/sample/9948_orig/00009.jpg new file mode 100644 index 0000000000000000000000000000000000000000..9162dc1c98df2e785a0dce82105aa1ce90a4ec64 Binary files /dev/null and b/sample/9948_orig/00009.jpg differ diff --git a/sample/9948_orig/00010.jpg b/sample/9948_orig/00010.jpg new file mode 100644 index 0000000000000000000000000000000000000000..89cb35531b034caa5e0f2f7f150794fc526db5d8 Binary files /dev/null and b/sample/9948_orig/00010.jpg differ diff --git a/sample/9948_orig/00011.jpg b/sample/9948_orig/00011.jpg new file mode 100644 index 0000000000000000000000000000000000000000..10958e91fd0f36d5210ee4b83bf438219c1347a6 Binary files /dev/null and b/sample/9948_orig/00011.jpg differ diff --git a/sample/9948_orig/00012.jpg b/sample/9948_orig/00012.jpg new file mode 100644 index 0000000000000000000000000000000000000000..7b15f47d638d0626f54139f46c65ee497a4a3d42 Binary files /dev/null and b/sample/9948_orig/00012.jpg differ diff --git a/sample/9948_orig/00013.jpg b/sample/9948_orig/00013.jpg new file mode 100644 index 0000000000000000000000000000000000000000..5ce443f72d977f8b7d1f81cd4ad58995a3ca7fbb Binary files /dev/null and b/sample/9948_orig/00013.jpg differ diff --git a/sample/9948_orig/00014.jpg b/sample/9948_orig/00014.jpg new file mode 100644 index 0000000000000000000000000000000000000000..b0521ffb526e8df1c04389add114183c8cbd1c82 Binary files /dev/null and b/sample/9948_orig/00014.jpg differ diff --git a/sample/9948_orig/00015.jpg b/sample/9948_orig/00015.jpg new file mode 100644 index 0000000000000000000000000000000000000000..66ae46d29ccd41cae03389a57390ddf8bc11768a Binary files /dev/null and b/sample/9948_orig/00015.jpg differ diff --git a/sample/9948_orig/00016.jpg b/sample/9948_orig/00016.jpg new file mode 100644 index 0000000000000000000000000000000000000000..cde08b6232575eefd1db7fc53cb45044e172ee2f Binary files /dev/null and b/sample/9948_orig/00016.jpg differ diff --git a/sample/9948_orig/00017.jpg b/sample/9948_orig/00017.jpg new file mode 100644 index 0000000000000000000000000000000000000000..056ba8572dddc2b8617cff52d33b35ca55cf9e8d Binary files /dev/null and b/sample/9948_orig/00017.jpg differ diff --git a/sample/9948_orig/00018.jpg b/sample/9948_orig/00018.jpg new file mode 100644 index 0000000000000000000000000000000000000000..b6f59e7eee15b0653fb777229be6adbb033b7989 Binary files /dev/null and b/sample/9948_orig/00018.jpg differ diff --git a/sample/9948_orig/00019.jpg b/sample/9948_orig/00019.jpg new file mode 100644 index 0000000000000000000000000000000000000000..8d655a524242fdcf81283b2511a4208a0d067dd4 Binary files /dev/null and b/sample/9948_orig/00019.jpg differ diff --git a/sample/9948_orig/00020.jpg b/sample/9948_orig/00020.jpg new file mode 100644 index 0000000000000000000000000000000000000000..f19243f34c05f0ff81c8d1c035579f88d3b195f0 Binary files /dev/null and b/sample/9948_orig/00020.jpg differ diff --git a/sample/9948_orig/00021.jpg b/sample/9948_orig/00021.jpg new file mode 100644 index 0000000000000000000000000000000000000000..a3402cd7a330e27380881f518e50729fa615da3d Binary files /dev/null and b/sample/9948_orig/00021.jpg differ diff --git a/sample/9948_orig/00022.jpg b/sample/9948_orig/00022.jpg new file mode 100644 index 0000000000000000000000000000000000000000..ff1ce83102363ad346e78775a9724514bfa9e39c Binary files /dev/null and b/sample/9948_orig/00022.jpg differ diff --git a/sample/9948_orig/00023.jpg b/sample/9948_orig/00023.jpg new file mode 100644 index 0000000000000000000000000000000000000000..6383d529c63864c097dc1752aa59dbc4131924ad Binary files /dev/null and b/sample/9948_orig/00023.jpg differ diff --git a/sample/9948_orig/00024.jpg b/sample/9948_orig/00024.jpg new file mode 100644 index 0000000000000000000000000000000000000000..c5f999afbfaa5269824468c602d921bccb4f466a Binary files /dev/null and b/sample/9948_orig/00024.jpg differ diff --git a/sample/9948_orig/00025.jpg b/sample/9948_orig/00025.jpg new file mode 100644 index 0000000000000000000000000000000000000000..4bc9a66efe8c54d9ba2d80ecad70857b7baa1eda Binary files /dev/null and b/sample/9948_orig/00025.jpg differ diff --git a/sample/9948_orig/00026.jpg b/sample/9948_orig/00026.jpg new file mode 100644 index 0000000000000000000000000000000000000000..6962b330f06e96e3766ce21240d30ed9ed31cd72 Binary files /dev/null and b/sample/9948_orig/00026.jpg differ diff --git a/sample/9948_orig/00027.jpg b/sample/9948_orig/00027.jpg new file mode 100644 index 0000000000000000000000000000000000000000..ce939d13fbc27daae0d62a383133d5c259192300 Binary files /dev/null and b/sample/9948_orig/00027.jpg differ diff --git a/sample/9948_orig/00028.jpg b/sample/9948_orig/00028.jpg new file mode 100644 index 0000000000000000000000000000000000000000..39d411c8d5f59b3e5a06c74c305a54f56d35bbeb Binary files /dev/null and b/sample/9948_orig/00028.jpg differ diff --git a/sample/9948_orig/00029.jpg b/sample/9948_orig/00029.jpg new file mode 100644 index 0000000000000000000000000000000000000000..4bea9f7b92c2102afcb9a8557138b39c9b9389cf Binary files /dev/null and b/sample/9948_orig/00029.jpg differ diff --git a/sample/9948_orig/00030.jpg b/sample/9948_orig/00030.jpg new file mode 100644 index 0000000000000000000000000000000000000000..08ab08e0e1f06f12f117f0455cf4dd3df143eb46 Binary files /dev/null and b/sample/9948_orig/00030.jpg differ diff --git a/sample/9948_orig/00031.jpg b/sample/9948_orig/00031.jpg new file mode 100644 index 0000000000000000000000000000000000000000..1093e5a7e437ac1d2a54d15e741ebf526305b95b Binary files /dev/null and b/sample/9948_orig/00031.jpg differ diff --git a/sample/9948_orig/00032.jpg b/sample/9948_orig/00032.jpg new file mode 100644 index 0000000000000000000000000000000000000000..78cf8481fad11d68b5523e5b13c829499ec0f30c Binary files /dev/null and b/sample/9948_orig/00032.jpg differ diff --git a/sample/9948_orig/00033.jpg b/sample/9948_orig/00033.jpg new file mode 100644 index 0000000000000000000000000000000000000000..97cc33e20851f0b25439fe4b002b81468f5471d7 Binary files /dev/null and b/sample/9948_orig/00033.jpg differ diff --git a/sample/9948_orig/00034.jpg b/sample/9948_orig/00034.jpg new file mode 100644 index 0000000000000000000000000000000000000000..74be633ef28c9556eb3442f1185b08c45d91f4dc Binary files /dev/null and b/sample/9948_orig/00034.jpg differ diff --git a/sample/9948_orig/00035.jpg b/sample/9948_orig/00035.jpg new file mode 100644 index 0000000000000000000000000000000000000000..a6765f62c7c0b9c81755f536187189ba494301df Binary files /dev/null and b/sample/9948_orig/00035.jpg differ diff --git a/sample/9948_orig/00036.jpg b/sample/9948_orig/00036.jpg new file mode 100644 index 0000000000000000000000000000000000000000..95d6424d295b717c9374a5a059b1262ec00c485f Binary files /dev/null and b/sample/9948_orig/00036.jpg differ diff --git a/sample/9948_orig/00037.jpg b/sample/9948_orig/00037.jpg new file mode 100644 index 0000000000000000000000000000000000000000..12a5679db1e1a061ba40272b4fa73c7dc233bc0b Binary files /dev/null and b/sample/9948_orig/00037.jpg differ diff --git a/sample/9948_orig/00038.jpg b/sample/9948_orig/00038.jpg new file mode 100644 index 0000000000000000000000000000000000000000..1afaf2c635ce4e632edb119972473ad11e4e0e2b Binary files /dev/null and b/sample/9948_orig/00038.jpg differ diff --git a/sample/9948_orig/00039.jpg b/sample/9948_orig/00039.jpg new file mode 100644 index 0000000000000000000000000000000000000000..ea3159befe6f06f6c670b46cfe4ae76533a2a3db Binary files /dev/null and b/sample/9948_orig/00039.jpg differ diff --git a/sample/9948_orig/00040.jpg b/sample/9948_orig/00040.jpg new file mode 100644 index 0000000000000000000000000000000000000000..786c65ca2d42289eea67d580bfe527fc72469a75 Binary files /dev/null and b/sample/9948_orig/00040.jpg differ diff --git a/sample/9948_orig/00041.jpg b/sample/9948_orig/00041.jpg new file mode 100644 index 0000000000000000000000000000000000000000..364f62089887c524bd81b2d2a9e7eb3b48404502 Binary files /dev/null and b/sample/9948_orig/00041.jpg differ diff --git a/sample/9948_orig/00042.jpg b/sample/9948_orig/00042.jpg new file mode 100644 index 0000000000000000000000000000000000000000..31c769be6c3034755e43ebcc9d20da858e091ca4 Binary files /dev/null and b/sample/9948_orig/00042.jpg differ diff --git a/sample/9948_orig/00043.jpg b/sample/9948_orig/00043.jpg new file mode 100644 index 0000000000000000000000000000000000000000..0509d7c7ced5701586bc219a8c2b857806c06e0d Binary files /dev/null and b/sample/9948_orig/00043.jpg differ diff --git a/sample/9948_orig/00044.jpg b/sample/9948_orig/00044.jpg new file mode 100644 index 0000000000000000000000000000000000000000..c7ccd9bd443d7e1dc88361b576cc60bd4c78826c Binary files /dev/null and b/sample/9948_orig/00044.jpg differ diff --git a/sample/9948_orig/00045.jpg b/sample/9948_orig/00045.jpg new file mode 100644 index 0000000000000000000000000000000000000000..3bab87fdde090c49e074bbea28260311ba01feac Binary files /dev/null and b/sample/9948_orig/00045.jpg differ diff --git a/sample/9948_orig/00046.jpg b/sample/9948_orig/00046.jpg new file mode 100644 index 0000000000000000000000000000000000000000..ce58ac09b902986240731f5f13baa74dd6325e1d Binary files /dev/null and b/sample/9948_orig/00046.jpg differ diff --git a/sample/9948_orig/00047.jpg b/sample/9948_orig/00047.jpg new file mode 100644 index 0000000000000000000000000000000000000000..03aa4021274fd404896ae7923a2fadfc3ed1a452 Binary files /dev/null and b/sample/9948_orig/00047.jpg differ diff --git a/sample/9948_orig/00048.jpg b/sample/9948_orig/00048.jpg new file mode 100644 index 0000000000000000000000000000000000000000..112220717f5d73dd02cf99c23cf70719af6c9df2 Binary files /dev/null and b/sample/9948_orig/00048.jpg differ diff --git a/sample/9948_orig/00049.jpg b/sample/9948_orig/00049.jpg new file mode 100644 index 0000000000000000000000000000000000000000..39d8f3c2ab7c02dfa5ea7f5988e3f7c22e4fce78 Binary files /dev/null and b/sample/9948_orig/00049.jpg differ diff --git a/sample/9948_orig/00050.jpg b/sample/9948_orig/00050.jpg new file mode 100644 index 0000000000000000000000000000000000000000..3fa29677bb504813b7742e5f6448d7df5f8e345d Binary files /dev/null and b/sample/9948_orig/00050.jpg differ diff --git a/sample/9948_orig/00051.jpg b/sample/9948_orig/00051.jpg new file mode 100644 index 0000000000000000000000000000000000000000..e368ce4bdb5619c888224606c5e87060505e1aca Binary files /dev/null and b/sample/9948_orig/00051.jpg differ diff --git a/sample/9948_orig/00052.jpg b/sample/9948_orig/00052.jpg new file mode 100644 index 0000000000000000000000000000000000000000..f817895e57098265fd7552b4fc590016e12a0c07 Binary files /dev/null and b/sample/9948_orig/00052.jpg differ diff --git a/sample/9948_orig/00053.jpg b/sample/9948_orig/00053.jpg new file mode 100644 index 0000000000000000000000000000000000000000..b5b31b27d694b60aaf7061a1d2997552f0b38d6d Binary files /dev/null and b/sample/9948_orig/00053.jpg differ diff --git a/sample/9948_orig/00054.jpg b/sample/9948_orig/00054.jpg new file mode 100644 index 0000000000000000000000000000000000000000..f78911c75b439e0e226e4d723e79508d2bbc2b1a Binary files /dev/null and b/sample/9948_orig/00054.jpg differ diff --git a/sample/9948_orig/00055.jpg b/sample/9948_orig/00055.jpg new file mode 100644 index 0000000000000000000000000000000000000000..bdee958b2a5106ac92fd2f29a55e5de61ce8b902 Binary files /dev/null and b/sample/9948_orig/00055.jpg differ diff --git a/sample/9948_orig/00056.jpg b/sample/9948_orig/00056.jpg new file mode 100644 index 0000000000000000000000000000000000000000..25a11595b322b9abb2713b6410530f13dae041d4 Binary files /dev/null and b/sample/9948_orig/00056.jpg differ diff --git a/sample/9948_orig/00057.jpg b/sample/9948_orig/00057.jpg new file mode 100644 index 0000000000000000000000000000000000000000..b6581dd78573b6beb39f56e5db5ba21a3468315b Binary files /dev/null and b/sample/9948_orig/00057.jpg differ diff --git a/sample/9948_orig/00058.jpg b/sample/9948_orig/00058.jpg new file mode 100644 index 0000000000000000000000000000000000000000..3c8d0cfb5c9ca914770a4cd4cd5cd16a46828dc2 Binary files /dev/null and b/sample/9948_orig/00058.jpg differ diff --git a/sample/9948_orig/00059.jpg b/sample/9948_orig/00059.jpg new file mode 100644 index 0000000000000000000000000000000000000000..c6d110e5f82e2d90d9cabc29a3727abfcac24df8 Binary files /dev/null and b/sample/9948_orig/00059.jpg differ diff --git a/sample/9948_orig/00060.jpg b/sample/9948_orig/00060.jpg new file mode 100644 index 0000000000000000000000000000000000000000..9ddc42f408582d346bea962c778541c98dcbc52c Binary files /dev/null and b/sample/9948_orig/00060.jpg differ diff --git a/sample/9948_orig/00061.jpg b/sample/9948_orig/00061.jpg new file mode 100644 index 0000000000000000000000000000000000000000..5ccd78c3975043a0a6bae736625fb5183a67a458 Binary files /dev/null and b/sample/9948_orig/00061.jpg differ